SlideShare una empresa de Scribd logo
1 de 9
Descargar para leer sin conexión
International Journal of Advanced Research in Engineering RESEARCH IN ENGINEERING
INTERNATIONAL JOURNAL OF ADVANCED and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

AND TECHNOLOGY (IJARET)

IJARET

ISSN 0976 - 6480 (Print)
ISSN 0976 - 6499 (Online)
Volume 5, Issue 2, February (2014), pp. 61-69
© IAEME: www.iaeme.com/ijaret.asp
Journal Impact Factor (2014): 4.1710 (Calculated by GISI)
www.jifactor.com

©IAEME

DESIGN AND IMPLEMENTATION OF LOW POWER PIPELINED 64-BIT
RISC PROCESSOR USING FPGA
VIJAY KUMAR JINDE1,

NAGARAJU BOYA2,

SWAPNA CHINTHAKUNTA3,

RAMANJAPPA THOGATA4
1, 3,4

2

Department of Physics, S .K. University, Anantapur, Andhra Pradesh, India
Department of Physics, Intell Engineering College, Anantapur, Andhra Pradesh, India

ABSTRACT
This paper presents the design and implementation of a low power pipelined RISC processor
using a FPGA (Field Programmable Gate Array). The processor design is based on the 4-stage
pipelining & low power techniques in front-end design process, which is characterized by 64-bit
architecture having four 64-bit registers. The RISC is designed using the Hardware Descriptive
Language Verilog HDL. This paper presents the architecture, low power unit, control unit, arithmetic
logic unit and instruction set of the 64-bit RISC processor. The module functionality and
performance issues like area, power dissipation and propagation delay are analyzed using Altera DE2
board.7-segment displays are connected to RISC IO interface for testing purpose, Quartus II 10.1
suite is used for software development, Modelsim is used for simulations.
KEYWORDS: Clock gating, FPGA, RISC processor, Quartus II 10.1.

1.

INTRODUCTION
The trend in the recent past shows the RISC processors clearly outsmarting the earlier
CISC processor architecture. RISC is a type of microprocessor that has a relatively limited number of
instructions. It is designed to perform a smaller number of types of computer instructions so that it
can operate at a higher speed (perform more million instructions per second, or millions of
instructions per second). Earlier, computers used only 20% of the instructions, making the other 80%
unnecessary. One advantage of reduced instruction set computers is that they can execute their
instructions very fast because the instructions are so simple [1].
61
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

RISC chips require fewer transistors, which makes them cheaper to design and produce. In a
RISC machine, the instruction set contains simple, basic instructions, from which more complex
instructions can be composed. Each instruction is of the same length, so that it may be fetched in a
single operation. Most instructions complete in one machine cycle, which allows the processor to
handle several instructions at the same time. This pipelining is a key technique used to speed up
RISC machines.
This paper presents to minimize the power of RISC processor and clock gating technique is used
in the architectural level, which is an efficient low power technique. The design considered as low
power pipelined 64-bit high performance RISC processor. The various blocks of 4 stage pipelining
includes Fetching, Decoder, Execution and Memory Read/Write is implemented in one clock cycle.
In the design, power reduction is done in front end process so that low power RISC processor is
designed without any complexity.
The most important feature of RISC instruction format is to decode the information. It has the
ability to execute one instruction per cycle. This is done by overlapping the fetch, decode and
execute phases of two or three instructions by using a procedure referred to as pipelining.
Instructions are of fixed number of bytes and take fixed amount of time for execution. In this design,
most instructions are of uniform length and similar structure, arithmetic operations are restricted to
CPU registers and only separate load and store instructions access memory.

2.

DESIGN OF 64-BIT RISC PROCESSOR

The architecture of the proposed low power pipelined 64-bit RISC Processor is a single cycle
pipelined processor, small instruction set, load/store architecture, fixed length coding and hardware
decoding and large register set. This is a general-purpose 64-bit RISC processor with pipelining
architecture: it gets instructions on a regular basis using dedicated buses to its memory, executes all
its native instructions in stages with pipelining. It can communicate with external devices with its
dedicated parallel IO interface [2]. In the low power RISC design, all the arithmetic, branch, logical
operations are performed and the resultant value is stored in the memory/registers and retrieved back
from memory, when required[3].
The architecture consists of four stage pipelining: Instruction Fetch, Instruction Decode,
Execute, Memory Read/Write Back [4].The function of the instruction fetch unit is to obtain an
instruction from the instruction memory using the current value of the PC and increment the PC
value for the next instruction. Fetching instruction means the instruction present in the memory is
fetched from the PC and stored it in the instruction register. The main function of the instruction
decode unit is, opcode fetched from the memory is being decoded for the next steps and moved to
appropriate registers. The purpose of the instruction execute is to perform required operation based
on the opcode and store the result in immediate register. The purpose of the store unit is store the
result into corresponding register or memory [5].The proposed architecture of RISC processor is
shown in Fig.1.

62
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

Instruction
Fetch (IF)

Instruction
Decode
(ID)

Execution
Unit

Register(R0)

Main_clk
Clk

Memory
Unit

Low Power Unit

Register(R1)

Result

Register(R2)
Register(R3)

Fig.1: Architecture of RISC processor

3.

DESCRIPTION OF LOGIC BLOCKS

In the present work, the RISC processor consists of blocks namely, Instruction Fetch
(Program Counter), Control Unit, Register File, Arithmetic and Logical Unit (ALU), Memory Unit
and low power technique.
3.1. Instruction Fetch
This stage consists of Program Counter, which performs two operations, namely,
incrementing and loading. The program counter (PC) contains the address of the instruction that will
be fetched from the Instruction memory during the next clock cycle. Normally, the PC is
incremented by one instruction during each clock cycle unless a branch instruction is executed.
When a branch instruction is encountered, the PC is incremented by the amount indicated by the
branch offset. The PC Write input serves as an enable signal. When PC Write signal is high, the
contents of the PC are incremented during the next clock cycle. When it is low, the contents of the
PC remain unchanged.
3.2. Control Unit
The control unit generates all the control signals needed to control the coordination among
the entire component of the processor. This unit generates signals that control all the read and write
operations of the register file, and the Data Memory. It is also responsible for generating signals that
decide when to use the multiplier and when to use the ALU. It generates appropriate branch flags
that are used by the Branch Decide unit.
3.3. Register File
This is a two port register file which can perform two simultaneous read and write operations.
It contains four 64-bit general purpose registers. These register files are utilized during the execution
63
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

of arithmetic, data instructions and floating point operations. It can be addressed as both source and
destination using a 2-bit identifier. The registers are named R0 through R3. The load instruction is
used to load the values into the registers and store instruction is used to hold the address of the
corresponding memory locations. When the Reg_Write signal is high, a write operation is performed
to the register.
3.4. Arithmetic Logic Unit
The ALU is responsible for all arithmetic and logic operations that take place within the
processor. These operations can have one operand or two, these values coming from either the
register file or from the immediate value from the instruction directly. The operations supported by
the ALU include add, subtract, compare, AND, OR, NOT, Increment, NAND and NOR. The output
of the ALU goes either to the data memory or through a multiplexer back to the register file. The
multiplier is designed to execute in a single cycle instructions. All operations will be done according
to the control signal coming from ALU control unit.
Control unit is responsible for providing signals to the ALU that indicates the operation that
the ALU will perform. The input to this unit is the 5-bit opcode and the 2-bit function field of the
instruction word. It uses these bits to decide the correct ALU operation for the current instruction
cycle. This unit also provides another set of output that is used to gate the signals to the parts of the
ALU that it will not be using for the current operation. This stage consists of some control circuitry
that forwards the appropriate data, generated by the ALU or read from the Data Memory, to the
register files to be written into the designated register.
3.4 Memory Unit
The Load and Store instructions are used to access this module. Finally, the Memory Access
stage is where, if necessary, system memory is accessed for data. Also, if a write to data memory is
required by the instruction it is done in this stage. In order to avoid additional complications it is
assumed that a single read or write is accomplished within a single CPU clock cycle. The
architecture uses dynamic branch prediction as it reduces branch penalties under hardware control.
3.5 Instruction Set
A common misunderstanding of the phrase "reduced instruction set computer" is the
mistaken idea that instructions are simply eliminated, resulting in a smaller set of instructions. An
instruction set or instruction set architecture (ISA) is the part of the computer architecture related to
programming, including the native data types, instructions, registers, addressing modes, memory
architecture, interrupt and exception handling, and external I/O. An ISA includes a specification of
the set of opcode (machine language), and the native commands implemented by a particular
processor.
The instruction set used in this architecture consists of arithmetic, logical, memory and
branch instructions. It will have short (8-bit) and long (16-bit) instructions shown in Table1. For all
arithmetic and logical operations, 8-bit instructions are used. For all memory transactions and jump
instructions, 16-bit instructions are used. It will also have special instructions to access external
ports. The architecture will also have internal 64-bit general purpose registers that can be used in all
operations. For all the jump instruction, the processor architecture, will automatically flushes the data
in to pipeline, so as to avoid any misbehavior.

64
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

Short Instruction Format:
Opcode
1010
Long Instruction Format:
Opcode
0011
0101

Source
10

Source
00
Address
11

Destination
11

Destination
??
01

Table 1: Instructions Set of RISC processor
3.6 Low power Technique
There are several different RTL and gate-level design strategies for reducing power. In the
present work, Clock Gating design is used for reducing dynamic power. In this method, clock is
applied to only the modules that are working at that instant [6]. Clock gating is a dynamic power
reduction method in which the clock signals are stopped for selected register banks during the time
when the stored logic values are not changing. The clock pulse for low power technique is shown in
Fig.2. The input to low power unit is global clock and its output is gated clock, since the module will
block the main clock in the following conditions.
•
•
•

When instruction is halt.
When there is a continuous Nop operation.
When program counter fails to increment.

Fig. 2: Clock Pulses of Low Power Unit

4.

SIMULATION RESULTS

The simulation results have been verified by using Modelsim. The Fig.7 shows simulation
results of how to reduce the dynamic power by using low power technique. The Fig.8 shows the
simulation results of instruction fetch unit. The Fig.9 shows the simulation results of instruction
decode unit. The Fig.10 shows the simulation results of execution unit which performs all arithmetic,
branch and logical operations. The Fig.11 shows the simulation results of pipelined RISC processor
using low power technique. The RTL schematic architecture shows as shown in Fig.12.

65
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

Fig.3: Simulation results of Low Power Unit

Fig.4: Simulation results of Instruction Fetch Unit

Fig.5: Simulation results of Instruction Decode Unit

66
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

Fig.6: Simulation results of Execution Unit

Fig.7: Simulation results of pipelined RISC processor

67
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

Fig.8: RTL Schematic architecture

5. FLOW CHART OF THE PROCESSOR
Start

Set initial Program Counter (PC)

Fetch instruction from instruction

Increment Program Counter (PC)

Decode from instruction register

Based on opcode instruction, executes
ALU operations and Floating point unit

Stored into memory unit

Fig. 9: Flow Chart of Processor
68
International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 –
6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME

6.

CONCLUSION

FPGA based low Power Pipelined 64-bit RISC processor is designed. Modelsim is used to
verify the simulation results. The design is implemented on Altera DE2 FPGA on which Arithmetic
operations, Branch operations and Logical functions are verified. The proposed architecture is able to
prevent pipelining to multiple executions with a single instruction. When the processor is idle,
CLOCK is switched off through sleep mode by using low power technique. This design can be used
for low power applications to enhance the battery life of the devices. The proposed architecture is
able to prevent pipelining from flushing when branch instruction occurs and able to provide halt
support.
REFERENCES
1. J. Poornima, G.V.Ganesh, M. Jyothi, M. Shanti and A.Jhansi Rani, “Design and
implementation of pipelined 32-bit Advanced RISC processor for various D.S.P Applications”,
Proceedings of International Journal of Computer Science and Information Technology,
Vol-3(1),2012,3208-3213.
2. http://elearning.vtu.ac.in/12/enotes/Adv_Com_Arch/Pipeline/Unit2-KGM.pdf
3. Preetam Bhosle, Hari Krishna Moorthy, “FPGA Implementation of Low Power Pipelined
32-bit RISC Processor”, Proceedings of International Journal of Innovative Technology and
Exploring Engineering (IJITEE), ISSN: 2278-3075, Vol-1, Issue-3, August 2012.
4. Galani Tina G,Riya Saini and R.D.Daruwala, ”Design and Implementation of 32-bit RISC
Processor using Xilinx”, International Journal of Emerging Trends in Electrical and
Electronics(IJETEE-ISNN:2320-9569), Vol No.5, Issue 1, July-2013.
5. Aboobacker Sidheeq.V.M, ”Four Stage Pipelined 16 bit RISC on Xilinx Sparatn 3AN FPGA”,
Proceedings of International Journal of Computer Applications(0975-888), Vol-48, No.6,
June 2012.
6. J.Ravindra, T.Anuradha, “Design of Low Power RISC Processor by Applying Clock gating
Technique”, International Journal of Engineering Research and Applications, ISSN2248-9622,
Vol-2, Issue-3, May-Jun-2012, pp.094-099.
7. Naga Raju Boya, Sreelekha Kande, Vijay Kumar Jinde, Swapna Chintakunta, Mahesh
Ungarala and Ramanjappa Thogata, “Design and Development of FPGA Based Temperature
Measurement and Control System”, International Journal of Electronics and Communication
Engineering & Technology (IJECET), Volume 4, Issue 4, 2013, pp. 86 - 95, ISSN Print: 09766464, ISSN Online: 0976 –6472.

69

Más contenido relacionado

La actualidad más candente

Unit 1 intro-embedded
Unit 1 intro-embeddedUnit 1 intro-embedded
Unit 1 intro-embeddedPavithra S
 
Unit 2 processor&memory-organisation
Unit 2 processor&memory-organisationUnit 2 processor&memory-organisation
Unit 2 processor&memory-organisationPavithra S
 
training report on embedded system and AVR
training report on embedded system and AVRtraining report on embedded system and AVR
training report on embedded system and AVRUrvashi Khandelwal
 
Computer System Architecture Lecture Note 5: microprocessor technology
Computer System Architecture Lecture Note 5: microprocessor technologyComputer System Architecture Lecture Note 5: microprocessor technology
Computer System Architecture Lecture Note 5: microprocessor technologyBudditha Hettige
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollereSAT Publishing House
 
Computer organization & architecture chapter-1
Computer organization & architecture chapter-1Computer organization & architecture chapter-1
Computer organization & architecture chapter-1Shah Rukh Rayaz
 
Bca examination 2015 csa
Bca examination 2015 csaBca examination 2015 csa
Bca examination 2015 csaAnjaan Gajendra
 
Micro controller and dsp processor
Micro controller and dsp processorMicro controller and dsp processor
Micro controller and dsp processorShubhamMishra485
 
Microprocessor and Microcontroller - ITVoyagers
Microprocessor and Microcontroller - ITVoyagersMicroprocessor and Microcontroller - ITVoyagers
Microprocessor and Microcontroller - ITVoyagersITVoyagers
 
Timing and-control-unit
Timing and-control-unitTiming and-control-unit
Timing and-control-unitAnuj Modi
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)Susam Pal
 
Computer System Architecture Lecture Note 1: introduction
Computer System Architecture Lecture Note 1: introductionComputer System Architecture Lecture Note 1: introduction
Computer System Architecture Lecture Note 1: introductionBudditha Hettige
 
Computer Architecture – An Introduction
Computer Architecture – An IntroductionComputer Architecture – An Introduction
Computer Architecture – An IntroductionDilum Bandara
 
Transmission and reception of data through usb using
Transmission and reception of data through usb usingTransmission and reception of data through usb using
Transmission and reception of data through usb usingeSAT Publishing House
 

La actualidad más candente (20)

Unit 1 intro-embedded
Unit 1 intro-embeddedUnit 1 intro-embedded
Unit 1 intro-embedded
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Training report on embedded sys_AVR
Training report on embedded sys_AVRTraining report on embedded sys_AVR
Training report on embedded sys_AVR
 
Unit 2 processor&memory-organisation
Unit 2 processor&memory-organisationUnit 2 processor&memory-organisation
Unit 2 processor&memory-organisation
 
training report on embedded system and AVR
training report on embedded system and AVRtraining report on embedded system and AVR
training report on embedded system and AVR
 
Computer System Architecture Lecture Note 5: microprocessor technology
Computer System Architecture Lecture Note 5: microprocessor technologyComputer System Architecture Lecture Note 5: microprocessor technology
Computer System Architecture Lecture Note 5: microprocessor technology
 
Mod3
Mod3Mod3
Mod3
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontroller
 
E.s unit 4 and 5
E.s unit 4 and 5E.s unit 4 and 5
E.s unit 4 and 5
 
Computer organization & architecture chapter-1
Computer organization & architecture chapter-1Computer organization & architecture chapter-1
Computer organization & architecture chapter-1
 
Bca examination 2015 csa
Bca examination 2015 csaBca examination 2015 csa
Bca examination 2015 csa
 
Micro controller and dsp processor
Micro controller and dsp processorMicro controller and dsp processor
Micro controller and dsp processor
 
Microprocessor and Microcontroller - ITVoyagers
Microprocessor and Microcontroller - ITVoyagersMicroprocessor and Microcontroller - ITVoyagers
Microprocessor and Microcontroller - ITVoyagers
 
Timing and-control-unit
Timing and-control-unitTiming and-control-unit
Timing and-control-unit
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)
 
Ijetr042175
Ijetr042175Ijetr042175
Ijetr042175
 
Computer System Architecture Lecture Note 1: introduction
Computer System Architecture Lecture Note 1: introductionComputer System Architecture Lecture Note 1: introduction
Computer System Architecture Lecture Note 1: introduction
 
Computer Architecture – An Introduction
Computer Architecture – An IntroductionComputer Architecture – An Introduction
Computer Architecture – An Introduction
 
Introduction to stm32-part1
Introduction to stm32-part1Introduction to stm32-part1
Introduction to stm32-part1
 
Transmission and reception of data through usb using
Transmission and reception of data through usb usingTransmission and reception of data through usb using
Transmission and reception of data through usb using
 

Destacado (7)

20320130406015 2-3-4
20320130406015 2-3-420320130406015 2-3-4
20320130406015 2-3-4
 
20320130406023
2032013040602320320130406023
20320130406023
 
10120140502012
1012014050201210120140502012
10120140502012
 
30120140502007
3012014050200730120140502007
30120140502007
 
10120130406024
1012013040602410120130406024
10120130406024
 
40120130406011 2-3
40120130406011 2-340120130406011 2-3
40120130406011 2-3
 
30420140501002
3042014050100230420140501002
30420140501002
 

Similar a 20120140502007 2-3

Design & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueDesign & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueIOSR Journals
 
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET Journal
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...IRJET Journal
 
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSIRJET Journal
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSIRJET Journal
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsROHIT89352
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfssuser1e1bab
 
Design and simulation of a non pipelined multi cycle 16 bit risc educational ...
Design and simulation of a non pipelined multi cycle 16 bit risc educational ...Design and simulation of a non pipelined multi cycle 16 bit risc educational ...
Design and simulation of a non pipelined multi cycle 16 bit risc educational ...IAEME Publication
 
unit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptxunit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptxKandavelEee
 
Real time atomization of agriculture system for the modernization of indian a...
Real time atomization of agriculture system for the modernization of indian a...Real time atomization of agriculture system for the modernization of indian a...
Real time atomization of agriculture system for the modernization of indian a...SHAMEER C M
 
Review paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticReview paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticIRJET Journal
 
8 bit Microprocessor with Single Vectored Interrupt
8 bit Microprocessor with Single Vectored Interrupt8 bit Microprocessor with Single Vectored Interrupt
8 bit Microprocessor with Single Vectored InterruptHardik Manocha
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 

Similar a 20120140502007 2-3 (20)

Design & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueDesign & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining Technique
 
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
 
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPS
 
IJCRT2006062.pdf
IJCRT2006062.pdfIJCRT2006062.pdf
IJCRT2006062.pdf
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applications
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Hg3612911294
Hg3612911294Hg3612911294
Hg3612911294
 
W04505116121
W04505116121W04505116121
W04505116121
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
 
H344250
H344250H344250
H344250
 
Design and simulation of a non pipelined multi cycle 16 bit risc educational ...
Design and simulation of a non pipelined multi cycle 16 bit risc educational ...Design and simulation of a non pipelined multi cycle 16 bit risc educational ...
Design and simulation of a non pipelined multi cycle 16 bit risc educational ...
 
unit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptxunit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptx
 
Real time atomization of agriculture system for the modernization of indian a...
Real time atomization of agriculture system for the modernization of indian a...Real time atomization of agriculture system for the modernization of indian a...
Real time atomization of agriculture system for the modernization of indian a...
 
Review paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticReview paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmetic
 
8 bit Microprocessor with Single Vectored Interrupt
8 bit Microprocessor with Single Vectored Interrupt8 bit Microprocessor with Single Vectored Interrupt
8 bit Microprocessor with Single Vectored Interrupt
 
A novel reduced instruction set computer-communication processor design usin...
A novel reduced instruction set computer-communication  processor design usin...A novel reduced instruction set computer-communication  processor design usin...
A novel reduced instruction set computer-communication processor design usin...
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 

Más de IAEME Publication

IAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME Publication
 
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...IAEME Publication
 
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSA STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSIAEME Publication
 
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSBROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSIAEME Publication
 
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSDETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSIAEME Publication
 
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSIAEME Publication
 
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOVOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOIAEME Publication
 
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IAEME Publication
 
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYVISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYIAEME Publication
 
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...IAEME Publication
 
GANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEGANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEIAEME Publication
 
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...IAEME Publication
 
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...IAEME Publication
 
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...IAEME Publication
 
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...IAEME Publication
 
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...IAEME Publication
 
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...IAEME Publication
 
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...IAEME Publication
 
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...IAEME Publication
 
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTA MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTIAEME Publication
 

Más de IAEME Publication (20)

IAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdf
 
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
 
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSA STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
 
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSBROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
 
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSDETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
 
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
 
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOVOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
 
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
 
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYVISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
 
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
 
GANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEGANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICE
 
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
 
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
 
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
 
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
 
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
 
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
 
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
 
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
 
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTA MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
 

Último

Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Victor Rentea
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsNanddeep Nachan
 
Ransomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdfRansomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdfOverkill Security
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodJuan lago vázquez
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Jeffrey Haguewood
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingEdi Saputra
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoffsammart93
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...Martijn de Jong
 
ICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesrafiqahmad00786416
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAndrey Devyatkin
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024The Digital Insurer
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDropbox
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamUiPathCommunity
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Orbitshub
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Zilliz
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdfSandro Moreira
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherRemote DBA Services
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfOrbitshub
 

Último (20)

Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Ransomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdfRansomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdf
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
ICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesICT role in 21st century education and its challenges
ICT role in 21st century education and its challenges
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 

20120140502007 2-3

  • 1. International Journal of Advanced Research in Engineering RESEARCH IN ENGINEERING INTERNATIONAL JOURNAL OF ADVANCED and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME AND TECHNOLOGY (IJARET) IJARET ISSN 0976 - 6480 (Print) ISSN 0976 - 6499 (Online) Volume 5, Issue 2, February (2014), pp. 61-69 © IAEME: www.iaeme.com/ijaret.asp Journal Impact Factor (2014): 4.1710 (Calculated by GISI) www.jifactor.com ©IAEME DESIGN AND IMPLEMENTATION OF LOW POWER PIPELINED 64-BIT RISC PROCESSOR USING FPGA VIJAY KUMAR JINDE1, NAGARAJU BOYA2, SWAPNA CHINTHAKUNTA3, RAMANJAPPA THOGATA4 1, 3,4 2 Department of Physics, S .K. University, Anantapur, Andhra Pradesh, India Department of Physics, Intell Engineering College, Anantapur, Andhra Pradesh, India ABSTRACT This paper presents the design and implementation of a low power pipelined RISC processor using a FPGA (Field Programmable Gate Array). The processor design is based on the 4-stage pipelining & low power techniques in front-end design process, which is characterized by 64-bit architecture having four 64-bit registers. The RISC is designed using the Hardware Descriptive Language Verilog HDL. This paper presents the architecture, low power unit, control unit, arithmetic logic unit and instruction set of the 64-bit RISC processor. The module functionality and performance issues like area, power dissipation and propagation delay are analyzed using Altera DE2 board.7-segment displays are connected to RISC IO interface for testing purpose, Quartus II 10.1 suite is used for software development, Modelsim is used for simulations. KEYWORDS: Clock gating, FPGA, RISC processor, Quartus II 10.1. 1. INTRODUCTION The trend in the recent past shows the RISC processors clearly outsmarting the earlier CISC processor architecture. RISC is a type of microprocessor that has a relatively limited number of instructions. It is designed to perform a smaller number of types of computer instructions so that it can operate at a higher speed (perform more million instructions per second, or millions of instructions per second). Earlier, computers used only 20% of the instructions, making the other 80% unnecessary. One advantage of reduced instruction set computers is that they can execute their instructions very fast because the instructions are so simple [1]. 61
  • 2. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME RISC chips require fewer transistors, which makes them cheaper to design and produce. In a RISC machine, the instruction set contains simple, basic instructions, from which more complex instructions can be composed. Each instruction is of the same length, so that it may be fetched in a single operation. Most instructions complete in one machine cycle, which allows the processor to handle several instructions at the same time. This pipelining is a key technique used to speed up RISC machines. This paper presents to minimize the power of RISC processor and clock gating technique is used in the architectural level, which is an efficient low power technique. The design considered as low power pipelined 64-bit high performance RISC processor. The various blocks of 4 stage pipelining includes Fetching, Decoder, Execution and Memory Read/Write is implemented in one clock cycle. In the design, power reduction is done in front end process so that low power RISC processor is designed without any complexity. The most important feature of RISC instruction format is to decode the information. It has the ability to execute one instruction per cycle. This is done by overlapping the fetch, decode and execute phases of two or three instructions by using a procedure referred to as pipelining. Instructions are of fixed number of bytes and take fixed amount of time for execution. In this design, most instructions are of uniform length and similar structure, arithmetic operations are restricted to CPU registers and only separate load and store instructions access memory. 2. DESIGN OF 64-BIT RISC PROCESSOR The architecture of the proposed low power pipelined 64-bit RISC Processor is a single cycle pipelined processor, small instruction set, load/store architecture, fixed length coding and hardware decoding and large register set. This is a general-purpose 64-bit RISC processor with pipelining architecture: it gets instructions on a regular basis using dedicated buses to its memory, executes all its native instructions in stages with pipelining. It can communicate with external devices with its dedicated parallel IO interface [2]. In the low power RISC design, all the arithmetic, branch, logical operations are performed and the resultant value is stored in the memory/registers and retrieved back from memory, when required[3]. The architecture consists of four stage pipelining: Instruction Fetch, Instruction Decode, Execute, Memory Read/Write Back [4].The function of the instruction fetch unit is to obtain an instruction from the instruction memory using the current value of the PC and increment the PC value for the next instruction. Fetching instruction means the instruction present in the memory is fetched from the PC and stored it in the instruction register. The main function of the instruction decode unit is, opcode fetched from the memory is being decoded for the next steps and moved to appropriate registers. The purpose of the instruction execute is to perform required operation based on the opcode and store the result in immediate register. The purpose of the store unit is store the result into corresponding register or memory [5].The proposed architecture of RISC processor is shown in Fig.1. 62
  • 3. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME Instruction Fetch (IF) Instruction Decode (ID) Execution Unit Register(R0) Main_clk Clk Memory Unit Low Power Unit Register(R1) Result Register(R2) Register(R3) Fig.1: Architecture of RISC processor 3. DESCRIPTION OF LOGIC BLOCKS In the present work, the RISC processor consists of blocks namely, Instruction Fetch (Program Counter), Control Unit, Register File, Arithmetic and Logical Unit (ALU), Memory Unit and low power technique. 3.1. Instruction Fetch This stage consists of Program Counter, which performs two operations, namely, incrementing and loading. The program counter (PC) contains the address of the instruction that will be fetched from the Instruction memory during the next clock cycle. Normally, the PC is incremented by one instruction during each clock cycle unless a branch instruction is executed. When a branch instruction is encountered, the PC is incremented by the amount indicated by the branch offset. The PC Write input serves as an enable signal. When PC Write signal is high, the contents of the PC are incremented during the next clock cycle. When it is low, the contents of the PC remain unchanged. 3.2. Control Unit The control unit generates all the control signals needed to control the coordination among the entire component of the processor. This unit generates signals that control all the read and write operations of the register file, and the Data Memory. It is also responsible for generating signals that decide when to use the multiplier and when to use the ALU. It generates appropriate branch flags that are used by the Branch Decide unit. 3.3. Register File This is a two port register file which can perform two simultaneous read and write operations. It contains four 64-bit general purpose registers. These register files are utilized during the execution 63
  • 4. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME of arithmetic, data instructions and floating point operations. It can be addressed as both source and destination using a 2-bit identifier. The registers are named R0 through R3. The load instruction is used to load the values into the registers and store instruction is used to hold the address of the corresponding memory locations. When the Reg_Write signal is high, a write operation is performed to the register. 3.4. Arithmetic Logic Unit The ALU is responsible for all arithmetic and logic operations that take place within the processor. These operations can have one operand or two, these values coming from either the register file or from the immediate value from the instruction directly. The operations supported by the ALU include add, subtract, compare, AND, OR, NOT, Increment, NAND and NOR. The output of the ALU goes either to the data memory or through a multiplexer back to the register file. The multiplier is designed to execute in a single cycle instructions. All operations will be done according to the control signal coming from ALU control unit. Control unit is responsible for providing signals to the ALU that indicates the operation that the ALU will perform. The input to this unit is the 5-bit opcode and the 2-bit function field of the instruction word. It uses these bits to decide the correct ALU operation for the current instruction cycle. This unit also provides another set of output that is used to gate the signals to the parts of the ALU that it will not be using for the current operation. This stage consists of some control circuitry that forwards the appropriate data, generated by the ALU or read from the Data Memory, to the register files to be written into the designated register. 3.4 Memory Unit The Load and Store instructions are used to access this module. Finally, the Memory Access stage is where, if necessary, system memory is accessed for data. Also, if a write to data memory is required by the instruction it is done in this stage. In order to avoid additional complications it is assumed that a single read or write is accomplished within a single CPU clock cycle. The architecture uses dynamic branch prediction as it reduces branch penalties under hardware control. 3.5 Instruction Set A common misunderstanding of the phrase "reduced instruction set computer" is the mistaken idea that instructions are simply eliminated, resulting in a smaller set of instructions. An instruction set or instruction set architecture (ISA) is the part of the computer architecture related to programming, including the native data types, instructions, registers, addressing modes, memory architecture, interrupt and exception handling, and external I/O. An ISA includes a specification of the set of opcode (machine language), and the native commands implemented by a particular processor. The instruction set used in this architecture consists of arithmetic, logical, memory and branch instructions. It will have short (8-bit) and long (16-bit) instructions shown in Table1. For all arithmetic and logical operations, 8-bit instructions are used. For all memory transactions and jump instructions, 16-bit instructions are used. It will also have special instructions to access external ports. The architecture will also have internal 64-bit general purpose registers that can be used in all operations. For all the jump instruction, the processor architecture, will automatically flushes the data in to pipeline, so as to avoid any misbehavior. 64
  • 5. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME Short Instruction Format: Opcode 1010 Long Instruction Format: Opcode 0011 0101 Source 10 Source 00 Address 11 Destination 11 Destination ?? 01 Table 1: Instructions Set of RISC processor 3.6 Low power Technique There are several different RTL and gate-level design strategies for reducing power. In the present work, Clock Gating design is used for reducing dynamic power. In this method, clock is applied to only the modules that are working at that instant [6]. Clock gating is a dynamic power reduction method in which the clock signals are stopped for selected register banks during the time when the stored logic values are not changing. The clock pulse for low power technique is shown in Fig.2. The input to low power unit is global clock and its output is gated clock, since the module will block the main clock in the following conditions. • • • When instruction is halt. When there is a continuous Nop operation. When program counter fails to increment. Fig. 2: Clock Pulses of Low Power Unit 4. SIMULATION RESULTS The simulation results have been verified by using Modelsim. The Fig.7 shows simulation results of how to reduce the dynamic power by using low power technique. The Fig.8 shows the simulation results of instruction fetch unit. The Fig.9 shows the simulation results of instruction decode unit. The Fig.10 shows the simulation results of execution unit which performs all arithmetic, branch and logical operations. The Fig.11 shows the simulation results of pipelined RISC processor using low power technique. The RTL schematic architecture shows as shown in Fig.12. 65
  • 6. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME Fig.3: Simulation results of Low Power Unit Fig.4: Simulation results of Instruction Fetch Unit Fig.5: Simulation results of Instruction Decode Unit 66
  • 7. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME Fig.6: Simulation results of Execution Unit Fig.7: Simulation results of pipelined RISC processor 67
  • 8. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME Fig.8: RTL Schematic architecture 5. FLOW CHART OF THE PROCESSOR Start Set initial Program Counter (PC) Fetch instruction from instruction Increment Program Counter (PC) Decode from instruction register Based on opcode instruction, executes ALU operations and Floating point unit Stored into memory unit Fig. 9: Flow Chart of Processor 68
  • 9. International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 – 6480(Print), ISSN 0976 – 6499(Online) Volume 5, Issue 2, February (2014), pp. 61-69, © IAEME 6. CONCLUSION FPGA based low Power Pipelined 64-bit RISC processor is designed. Modelsim is used to verify the simulation results. The design is implemented on Altera DE2 FPGA on which Arithmetic operations, Branch operations and Logical functions are verified. The proposed architecture is able to prevent pipelining to multiple executions with a single instruction. When the processor is idle, CLOCK is switched off through sleep mode by using low power technique. This design can be used for low power applications to enhance the battery life of the devices. The proposed architecture is able to prevent pipelining from flushing when branch instruction occurs and able to provide halt support. REFERENCES 1. J. Poornima, G.V.Ganesh, M. Jyothi, M. Shanti and A.Jhansi Rani, “Design and implementation of pipelined 32-bit Advanced RISC processor for various D.S.P Applications”, Proceedings of International Journal of Computer Science and Information Technology, Vol-3(1),2012,3208-3213. 2. http://elearning.vtu.ac.in/12/enotes/Adv_Com_Arch/Pipeline/Unit2-KGM.pdf 3. Preetam Bhosle, Hari Krishna Moorthy, “FPGA Implementation of Low Power Pipelined 32-bit RISC Processor”, Proceedings of International Journal of Innovative Technology and Exploring Engineering (IJITEE), ISSN: 2278-3075, Vol-1, Issue-3, August 2012. 4. Galani Tina G,Riya Saini and R.D.Daruwala, ”Design and Implementation of 32-bit RISC Processor using Xilinx”, International Journal of Emerging Trends in Electrical and Electronics(IJETEE-ISNN:2320-9569), Vol No.5, Issue 1, July-2013. 5. Aboobacker Sidheeq.V.M, ”Four Stage Pipelined 16 bit RISC on Xilinx Sparatn 3AN FPGA”, Proceedings of International Journal of Computer Applications(0975-888), Vol-48, No.6, June 2012. 6. J.Ravindra, T.Anuradha, “Design of Low Power RISC Processor by Applying Clock gating Technique”, International Journal of Engineering Research and Applications, ISSN2248-9622, Vol-2, Issue-3, May-Jun-2012, pp.094-099. 7. Naga Raju Boya, Sreelekha Kande, Vijay Kumar Jinde, Swapna Chintakunta, Mahesh Ungarala and Ramanjappa Thogata, “Design and Development of FPGA Based Temperature Measurement and Control System”, International Journal of Electronics and Communication Engineering & Technology (IJECET), Volume 4, Issue 4, 2013, pp. 86 - 95, ISSN Print: 09766464, ISSN Online: 0976 –6472. 69