SlideShare una empresa de Scribd logo
1 de 5
Descargar para leer sin conexión
Full Paper
Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013

MTJ-Based Nonvolatile 9T SRAM Cell
S. Kushwaha, D. Kumar, M. Saw, A. Islam
Department of Electronics and Communication Engineering
Birla Institute of Technology, Mesra, Ranchi, Jharkhand, India
dhruva1157.09@bitmesra.ac.in, monisha1216.09@bitmesra.ac.in
Abstract— This paper presents a spin-transfer torque- magnetic
tunnel junction (STT-MTJ) based non-volatile 9-transistor
(9T) SRAM cell. The cell achieves low power dissipation due
to its series connected MTJ elements and read buffer which
offer stacking effect. The paper studies the impact of PVT
(process, voltage, and temperature) variations on the design
metric of the SRAM cell such as write delay and compares the
results with non-volatile 8T SRAM cell (NV8T). The proposed
design consumes lower leakage power and exhibits narrower
spread in write delay compared with NV8T.

devices to reduce the area overhead [11]. All these features
raise hope for building a nonvolatile memory and logic circuit
that do not consume OFF-state leakage current and support
ultra-low power operation. This work utilizes MTJ in
conjunction with conventional MOSFET to mitigate variation
in design metrics of memory circuit such as 9-transistor SRAM
cell [12].
The memory has evolved into a multi-leveled structure
with SRAM making up the fastest access memory. In modern
microprocessors, this trend has continued, such that SRAM
cache itself has multiple levels. For instance, in recent Intel
and AMD microprocessors, L1 (level one) cache contains a
small amount of the fastest memory cells. At the next level, L2
cache contains a large amount of cells that are slightly slower,
and so on. 6T-SRAMs are widely used in L1 and L2 cache
arrays while DRAMs are used as large off-chip memory arrays
or as embedded DRAMs (eDRAM) as higher level, highdensity caches. Conversely, the design of traditional chargebased SRAMs, DRAMs and eDRAMs pose challenges such
as higher standby power and lower noise margin in sub-45
nanometer nodes and beyond. Alternative to 6T-SRAM bitcells, subthreshold 10T [13], low-power 10T [14], and lowleakage 11T [15] SRAM cells have been proposed for lowvoltage and low-power operations. However, the problem of
standby power in semiconductor memory remains to be
solved.
CMOS technology scaling driven by the benefit of
integration density, higher speed of operation and lower
power dissipation, has overcome many barriers over the past
few decades. Presently, it is facing even more complications,
which are more severe than earlier. One of them is variability.
Variability is becoming a metric of equal importance as power,
delay, and area. TG-based fully differential 8T SRAM bitcell
proposed in [16] could mitigate variability in design metrics
of SRAM cell, but failed to improve read static noise margin
(RSNM). This work attempts to mitigate variability in design
metrics of MTJ-STT-based nonvolatile memory cell with
enhanced read static noise margin (RSNM) in highly scaled
technology node such as 22-nm. The non-volatility of the
proposed design solves the problem of leakage power in
memory. Extensive simulations were performed in HSPICE
using 22-nm Predictive Technology Model (PTM) [17] to
support the concluding remarks.
The rest of the paper is organized as follows. Section II
presents the proposed design. Simulation results are
discussed and compared in Section III. Finally, the concluding
remarks are provided in Section IV.

Index Terms— variability, SRAM, RSNM, WSNM, MTJ, hold
power

I. INTRODUCTION
Spintronics is the field of electronics that exploit the spin
property of electrons giving rise to spin transistors; contrary
to charge of electrons in traditional electronic devices [1-7].
It gives a new direction for research where these spin
transistors can be combined with ordinary transistors to
extract the maximum potential of both. The promising features
of spin transistors are non-volatility and re-configurability.
These features are very useful and suitable for future onchip, high-speed, high-density, low-power and nonvolatile
memory circuits. The nonvolatile property of spin transistor
is best suited for power-gating systems and field
programmable gate arrays [8].
There are two kinds of magneto-resistive devices – spin
valve (SV) and magnetic tunnel junction (MTJ). Spin valve
(SV) devices consist of at least two ferromagnetic metal (FM)
layers separated by an ultra-thin nonmagnetic metal (NM)
layer. In an MTJ, two ferromagnetic layers – one free layer
and the other fixed layer are separated by an oxide layer. The
insulating oxide layer is of either AlOx or MgO. The MgO is
now preferred to AlOx due to its higher tunnel magnetoresistance (TMR) ratio defined as (RAP – RP)/RP, where RAP
and RP are the resistances of MTJ in anti-parallel and parallel
magnetic orientation [9]. Spin-transfer torque (STT)
phenomenon is used to change the magnetic orientation of
the free layer relative to the fixed layer to give a parallel and
an antiparallel direction. The parallel orientation of MTJ offers
low resistance and conversely, an antiparallel orientation
offers high resistance. These two resistance states can be
used to denote a logic state of “0” and “1” respectively.
These resistance states persist even after the removal of
switching current passing through the MTJs. This property
is utilized in nonvolatile memory design. The MTJ is also
CMOS-compatible with high stability and reliability [10].
Moreover, the STT- MTJ can be fabricated on top of CMOS
© 2013 ACEEE
DOI: 01.IJRTET.8.2.50

26
Full Paper
Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013
II. PROPOSED DESIGN AND DEVICE SIZING
This paper proposes a STT-MTJ-based nonvolatile
differential memory cell (see Fig. 1) (hereafter called NV9T).
Its design metrics are assessed and compared with its
differential counterpart namely STT-MTJ-based nonvolatile
8T SRAM cell (see Fig. 2) (hereafter called NV8T). The
transistors in NV9T cell was sized to maintain pull-up (PU)
transistors < pass-gate (PG) transistors < pull-down (PD)
transistors. Transistor widths for NV9T cell WPU/WPG/WPD
were chosen as 22nm/33nm/44nm respectively. For a fair
comparison, transistors of basic 6T portion of both the cells
had the same dimensions. Widths of the extra transistors in
NV9T (MN5/6/7), were equal to 33nm/33nm/44nm respectively.
For extra transistors MN5/6 in NV8T a width of 44 nm was
selected. Channel length of all transistors of both the cells
was taken to be 22 nm. âratio and ãratio of 6T portion of both the
cells were taken to be 1.33 and 0.67 respectively, where âratio =
âdriver/âaccess and ãratio = âpull-up/âaccess. This is because typical
values of âratio ranges from 1.2 to 3 to avoid read upset in
conventional 6T SRAM cell [18]. As write-ability of the SRAM
cell is determined by the ãratio or pull-up ratio, the ãratio was
decided to be d” 1.8 to maintain good write-ability [19].
Therefore, to maintain an appreciable read stability, writeability and feedback pull-up strength âratio = 1.33 and ãratio =
0.67 were chosen for the proposed design [13]–[16].
The critical design strategy of the proposed cell was the
series connection of an MTJ with each driver. These MTJs
help in storing and restoring the cell content. A read buffer
(MN5/6/7) was used for the cell to isolate storage nodes
while reading. This helped in achieving higher read stability.
The proposed cell would exhibit very small RSNM without
this read buffer since the drivers (MN1/2) were very small
and MTJs were in series with them. Thus, this read buffer
improved the read stability of the proposed cell.

Fig. 1. Proposed nonvolatile 9T SRAM cell (NV9T)

III. SIMULATION RESULTS AND DISCUSSION
This work focuses on the challenges faced in designing
static random access memory in nanometer regime, where
27
© 2013 ACEEE
DOI: 01.IJRTET.8.2.50

Fig. 2. Nonvolatile 8T SRAM cell (NV8T) [20]

variations occur due to process and environmental
parameters such as operating voltage and temperature. The
basic reasons of cropping up variations are lateral and vertical
dimensions scaling of devices. The problem of variability
(defined as standard deviation (σ) to mean (μ) ratio of a design
metric) becomes more severe with further miniaturization and
hence, it is imperative that this problem be addressed. The
solution of the variability problem is to explore new design
techniques. This work attempted to mitigate variation in
design metrics of SRAM cell.
A. Simulation Setup
This section presents comparison of various design
metrics, which were estimated during MC (Monte Carlo)
simulation using 22-nm PTM. In order to analyze variability
with MC simulations, channel length (L), channel-doping
concentration (NDEP), oxide thickness (tox) and threshold
voltage (Vt) of all the devices in the cells were considered as
independent random variables and assumed to have Gaussian
distribution with 3ó variation of 10% [21].
Anticipated fluctuation in VDD is 10% in future technology
generations such as 22-nm [22]. Therefore, most of the design
metrics were estimated by varying the supply voltage by ±
10% around the nominal VDD of 0.8 V. Authors in [23] showed
that a sample size of 2000 ensures a lower than 4% inaccuracy
in the estimation of standard deviation. Hence, a sample size
of 5000 [24] was used in this work to achieve even higher
accuracy. All parameters were estimated using the above
simulation setup.
B. Store and Restore Operation
In normal SRAM operation of NV8T SR and CTRL signals
remain grounded. Storage operation in NV8T is initiated by
raising SR and CTRL lines to VVDD (virtual VDD). At the end of
storage operation, SR and CTRL pulses are turned low. Then
global VDD and GND are disconnected from the VVDD and
VGND (virtual GND) by sleep transistors (see Fig. 3) and
MRAM array enters into inactive mode in which no read /
write operations are performed.
Values of the storage nodes are stored in MTJ in the form
of RAP/RP (anti-parallel resistance/parallel resistance) in the
MTJ. During restore operation, SR and CTRL lines remain
grounded and VV DD is raised high by switching sleep
transistors ON. Store and restore operation of proposed NV9T
SRAM cell is illustrated in Fig. 4. During the store operation,
Full Paper
Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013

Fig. 3. Power gating with sleep transistors
Fig. 5. Read delay comparison
Table I. COMPARISON OF WRITE DELAY AND ITS VARIABILITY @ 27°C.

Fig. 4. Timing diagram of storage nodes during store and restore
operation of proposed nonvolatile 9T SRAM cell (NV9T)

VH drops due to discharging of storage node “H” through
the leaky devices. When the restore operation is initiated by
switching the sleep transistor ON the storage node “H” is
restored to its previous high level.
C. Read Access Time or Read Delay
Read delay is estimated as the time required by BL (bit
line)/BLB (bit line bar) to discharge by 50 mV [24]. The 50 mV
differential between BL and BLB is good enough to be
detected by sense amplifier, thereby avoiding misread [24],
[25]. The proposed cell exhibits 38% penalty in read delay at
nominal VDD compared with NV8T (see Fig. 5). This penalty
occurs due to larger body effect offered by the read buffer
and larger bit line capacitance.

Fig. 6. Write delay and its variability comparison

while writing “1” to “L”, is gauged by sweeping VL (Fig. 7, yaxis) with BLB & WWL high and BL low and monitoring VH
(Fig. 7, x-axis). This write VTC is used in combination with
the read VTC, which is measured by sweeping VH (Fig. 7, xaxis) and monitoring VL (Fig. 7, y-axis). The side length of the
smallest square, which can be embedded between the read
and write VTCs of the same SRAM cell at the lower half of
the curves, represents WSNM [26].
When WSNM falls below zero, write VTC intersects read
VTC, thereby indicating positive write margin and signifying
write failure. As can be observed from Fig. 7, the proposed
design NV9T exhibits equal write-ability to NV8T . The
proposed bitcell exhibits negative write margin, indicating
successful write operation. As the proposed cell exhibits
higher WSNM (=340 mV) than RSNM (= 330 mV), the cell is
RSNM limited (see Fig. 8).

D. Write Access Time and Its Variability
Write delay is estimated as the time required by the node
storing “0” to rise up to 90% of VDD or the node storing “1” to
fall by 10% of VDD. As pull-up and access devices are mainly
responsible for the write delay and they are of same sizes in
both the cells, therefore, only marginal differences in the write
delay is observed due to tail MTJ elements in NV9T compared
with NV8T (see Table I and Fig. 6). However, the proposed
design proves its robustness by exhibiting narrower spread
(6% @ nominal VDD of 0.8) in write delay variability.
E. Write-ability
The write-ability of a cell is measured by write static noise
margin (WSNM), which is estimated graphically using read
and write VTCs (voltage transfer curves). The write VTC,
© 2013 ACEEE
DOI: 01.IJRTET.8.2.50

F. Read Stability
The standard 6T SRAM cell is found to be unstable at
28
Full Paper
Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013
Table II. C OMPARISON OF OPERATING FREQUENCY

be completely overlapped with the decoder operation. The
estimated results are presented in Table II. As observed from
the table, the proposed design exhibits lower operating
frequency compared with NV8T because of larger body effect
and bit line capacitance.
H. Leakage Power Dissipation
In nanoscale technology, thinner oxide and lower Vt result
in significant rise in gate leakage and sub-threshold leakage
currents. Therefore, leakage power is now a significant
contributor to the total chip power. It is imperative to reduce
leakage power to prolong battery life. The comparison of
hold power (HPWR) of NV9T and NV8T is shown in Fig. 9 and
10. As can be observed, the proposed design consumes lower
leakage power at all temperatures and supply voltages. This
is due to the larger body effect offered by read buffer and the
use of MTJ elements at the source end of the pull-down
drivers.

Fig. 7. Static voltage characteristics of SRAM cells during write
opera tion

Fig. 8. Static voltage characteristics of SRAM cells during read
opera tion

nanoscale technology generation. It and its variants fail to
meet operational requirements due to a low RSNM. The
RSNM is estimated graphically as the length of a side of the
largest possible square that can be inscribed inside the
smaller wing of the butterfly curve. Fig. 8 plots the “butterfly
curves” for RSNM of NV9T and NV8T. As can be observed
from Fig. 8, NV9T offers higher RSNM compared with NV8T
(1.94×) due to isolation of storage nodes from bit lines. Cells
with RSNM of at least 25% of VDD are generally considered
to have excellent read stability [27]. The proposed cell meets
this requirement.

Fig. 9. Leakage or hold power versus temperature

G.. Performance Analysis
For the estimation of the operating frequency, the
precharge periods was not taken into account because it can
© 2013 ACEEE
DOI: 01.IJRTET.8.2.50

Fig. 10. Leakage or hold power versus VDD

29
Full Paper
Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013
CONCLUSIONS

[13] A. Islam, Mohd. Hasan, and Tughrul Arslan, “Variation
Resilient Subthreshold SRAM Cell Design Technique,”
International Journal of Electronics, vol. 99, no. 9, pp. 12231227, September 2012.
[14] A. Islam and Mohd. Hasan, “Leakage characterization of 10T
SRAM cell,” IEEE Trans. Electron Devices, vol. 59, no. 3,
pp. 631 – 638, March 2012.
[15] A. Islam and Mohd. Hasan, “Variability Aware Low Leakage
Reliable SRAM Cell Design Technique,” Microelectronics
reliability, vol. 52, no. 6, pp. 1247 – 1252, June 2012.
[16] A. Islam and Mohd. Hasan, “A Technique to Mitigate Impact
of Process, Voltage and Temperature Variations on Design
Metrics of SRAM Cell,” Microelectronics Reliability, vol.
52, no. 2, pp. 405 – 411, February 2012.
[17]
Nanoscale Integration and Modeling (NIMO) Group,
Arizona State University (ASU). [Online]. Available: http://
ptm.asu.edu/.
[18] N. Varma, J. Kong, and A. P. Chandrakasan, “Nanometer
MOSFET variation in minimum energy subthreshold circuits,”
IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 163-174,
Jan 2008.
[19] Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic,
Digital Integrated Circuits: A Design Perspective, 2 ed. New
Delhi, India: Prentice-Hall of India, 2005.
[20] Y. Shuto, et al., “Nonvolatile SRAM architecture using
MOSFET-based spin-transistors,” arxiv.org/pdf/0901.2980.
[21] R. Vaddi, S. dasgupta, and R.P . Agarwal, “Device and circuit
co-design robustness studies in the subthreshold logic for
ultralow-power applications for 32 nm CMOS,” IEEE Trans.
Electron Devices, vol. 57, no. 3, pp. 654-664, Mar. 2010.
[22] Semiconductor Industry Association (SIA), International
Technology Roadmap for Semiconductors 2009 Edition, http:/
/www.itrs.net/Links/2009ITRS/Home2009.htm.
[23] M. Alioto, G. Palumbo, and M. Pennisi, “Understanding the
effect of process variations on the delay static and domino
logic,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol.
18, no. 5, pp. 697–710, May. 2010.
[24] J. P. Kulkarni, K. Kim, and K. Roy, “A 160mV robust schmitt
trigger based subthreshold SRAM,” IEEE J. Solid-State
Circuits, vol. 42, no. 10, pp. 2303–2313, Oct. 2007.
[25] H. Noguchi, S. Okumura, Y. Iguchi, H. Fujiwara, Y. Morita, K.
Nii, H. Kawaguchi, and M. Yoshimoto, “Which is the best
dual-port SRAM in 45-nm process technology?—8T, 10T
single end, and 10T differential,” in Proc. IEEE ICICDT, Jun.
2008, pp. 55–58.
[26] Z. Guo, A. Carlson, L-T. Pang, K. T. Doung, T-J. K. Liu, and
B. Nikolic, “Large-scale SRAM variability characterization in
45nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 11,
pp. 3174-3192, Nov. 2009.
[27] A. E. Carlson, “Device and circuit techniques for reducing
variation in nanoscale SRAM,” Ph.D. dissertation, Univ.
California Berkeley, Berkeley, CA, May 2008.

This work proposes a low-power and variability-aware
nonvolatile 9T SRAM cell. It analyzes the impact of PVT
variations on write delay. It consumes lower standby power
at all considered temperatures and supply voltages. It also
achieves higher read stability (RSNM) without reduction of
its write-ability. The proposed design is, therefore, an
attractive alternative for low power applications in scaled
technology node such as 22-nm and beyond.
REFERENCES
[1] S. A. Wolf, D. D. Awschalon, R. A. Buhrman, J.M. Daughton,
S. vonMolnar,M. L. Roukes, A. Y. Chtchelkanova, and D. M.
Treger, “Spintronics: A spin-based electronics vision for the
future,” Science, vol. 294,no. 5546, pp. 1488–1495, Nov. 2001.
[2] I. Zutic, J. Fabian, and S. Das Sarma, “Spintronics:
Fundamentals and applications,” Rev. Mod. Phys., vol. 76,
no. 2, pp. 323–410, Apr. 2004.
[3] J. Fabian, A. Matos-Abiaguea, C. Ertlera, P. Stano, and I.
Zutic, “Semiconductor spintronics,” Acta Physica Slovaca,
vol. 57, no. 4–5, pp. 565–907, Aug.–Oct. 2007.
[4] S. Bandyopadhyay and M. Cahay, Introduction to
Spintronics. Boca Raton, FL: CRC Press, 2008.
[5] J.-M. George, M. Elsen, V. Garcia, H. Jaffre’s, and R. Mattana,
“Spintonic with semiconductors,” C. R. Physique, vol. 6, no.
9, pp. 966–976, Nov. 2005.
[6] C. Chappert, A. Fert, and F. N. Van Dau, “The emergence of
spin electronics in data storage,” Nature Mater., vol. 6, no. 11,
pp. 813–823, Nov. 2007.
[7] D. D. Awschalom and M. E. Flatte, “Challenges for
semiconductor spintronics,” Nature Phys., vol. 3, no. 3, pp.
153–159, Mar. 2007.
[8] S. Sugahara and J. Nitta, “Spin-trasistor electronics: an
overview and outlook,” Proc. IEEE, vol.98. no. 12, pp. 2124
– 2154, Dec. 2010.
[9] W. H. Butler, X.-G. Zhang, T. C. Schulthess, and J. M.
MacLaren, “Spin dependent tunneling conductance of Fe/MgO/
Fe sandwiches,” Phys. Rev. B, Condens. Matter, vol. 63, no.
5, pp. –054 416, Jan. 2001.
[10] S. Ikeda, J. Hayakawa, M. L. Young, F. Matsukura, Y. Ohno,
T. Hanyu, and H. Ohno, “Magnetic tunnel junctions for
spintronic memories and beyond,” IEEE Trans. Electron
Devices, vol. 54, no. 5, pp. 991–1002, May 2007.
[11] S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, H. Hasegawa,
T. Endoh, H. Ohno, and T. Hanyu, “Fabrication of a nonvolatile
full adder based on logic-in-memory architecture using magnetic
tunnel junctions,” Appl. Phys. Express, vol. 1, no. 9, pp. 091
301-1–091 301-3, 2008.
[12] Z. Liu, and V Kursun, “Characterizatuon of a novel ninetransistor SRAM cell,” IEEE Trans. Very Large Scale Integr.
(VLSI) Syst., vol. 16, no. 4, pp. 488-492, Apr. 2008.

© 2013 ACEEE
DOI: 01.IJRTET.8.2.50

30

Más contenido relacionado

La actualidad más candente

Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...VLSICS Design
 
Presentation STT-RAM Survey
Presentation STT-RAM SurveyPresentation STT-RAM Survey
Presentation STT-RAM SurveySwapnil Bhosale
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...Editor IJMTER
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellDual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellWaqas Tariq
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...VLSICS Design
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET Journal
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 
Built-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyBuilt-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyIDES Editor
 

La actualidad más candente (15)

Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
 
Presentation STT-RAM Survey
Presentation STT-RAM SurveyPresentation STT-RAM Survey
Presentation STT-RAM Survey
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellDual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
 
Seminar stt ram
Seminar stt ramSeminar stt ram
Seminar stt ram
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCHREALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
Built-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyBuilt-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using Redundancy
 

Destacado

A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSEditor IJMTER
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b EditadoTexas Instruments
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016CLAROZONTECHNOLOGIES
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects TitlesE2MATRIX
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellMr Santosh Kumar Chhotray
 

Destacado (17)

SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b Editado
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects Titles
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
SRAM
SRAMSRAM
SRAM
 

Similar a MTJ-Based Nonvolatile 9T SRAM Cell

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...elelijjournal
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
sram using finfet technology in spice.ppt
sram using finfet technology in spice.pptsram using finfet technology in spice.ppt
sram using finfet technology in spice.pptsa48001
 
SPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORY
SPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORYSPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORY
SPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORYIJERA Editor
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 

Similar a MTJ-Based Nonvolatile 9T SRAM Cell (20)

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
Af34193199
Af34193199Af34193199
Af34193199
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
sram using finfet technology in spice.ppt
sram using finfet technology in spice.pptsram using finfet technology in spice.ppt
sram using finfet technology in spice.ppt
 
SPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORY
SPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORYSPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORY
SPIN TORQUE TRANSFER MRAM AS A UNIVERSAL MEMORY
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 

Más de idescitation (20)

65 113-121
65 113-12165 113-121
65 113-121
 
69 122-128
69 122-12869 122-128
69 122-128
 
71 338-347
71 338-34771 338-347
71 338-347
 
72 129-135
72 129-13572 129-135
72 129-135
 
74 136-143
74 136-14374 136-143
74 136-143
 
80 152-157
80 152-15780 152-157
80 152-157
 
82 348-355
82 348-35582 348-355
82 348-355
 
84 11-21
84 11-2184 11-21
84 11-21
 
62 328-337
62 328-33762 328-337
62 328-337
 
46 102-112
46 102-11246 102-112
46 102-112
 
47 292-298
47 292-29847 292-298
47 292-298
 
49 299-305
49 299-30549 299-305
49 299-305
 
57 306-311
57 306-31157 306-311
57 306-311
 
60 312-318
60 312-31860 312-318
60 312-318
 
5 1-10
5 1-105 1-10
5 1-10
 
11 69-81
11 69-8111 69-81
11 69-81
 
14 284-291
14 284-29114 284-291
14 284-291
 
15 82-87
15 82-8715 82-87
15 82-87
 
29 88-96
29 88-9629 88-96
29 88-96
 
43 97-101
43 97-10143 97-101
43 97-101
 

Último

Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Celine George
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfchloefrazer622
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxSayali Powar
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppCeline George
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsKarinaGenton
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting DataJhengPantaleon
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docxPoojaSen20
 
Concept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.CompdfConcept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.CompdfUmakantAnnand
 

Último (20)

Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
 
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdf
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website App
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its Characteristics
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docx
 
Concept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.CompdfConcept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.Compdf
 

MTJ-Based Nonvolatile 9T SRAM Cell

  • 1. Full Paper Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013 MTJ-Based Nonvolatile 9T SRAM Cell S. Kushwaha, D. Kumar, M. Saw, A. Islam Department of Electronics and Communication Engineering Birla Institute of Technology, Mesra, Ranchi, Jharkhand, India dhruva1157.09@bitmesra.ac.in, monisha1216.09@bitmesra.ac.in Abstract— This paper presents a spin-transfer torque- magnetic tunnel junction (STT-MTJ) based non-volatile 9-transistor (9T) SRAM cell. The cell achieves low power dissipation due to its series connected MTJ elements and read buffer which offer stacking effect. The paper studies the impact of PVT (process, voltage, and temperature) variations on the design metric of the SRAM cell such as write delay and compares the results with non-volatile 8T SRAM cell (NV8T). The proposed design consumes lower leakage power and exhibits narrower spread in write delay compared with NV8T. devices to reduce the area overhead [11]. All these features raise hope for building a nonvolatile memory and logic circuit that do not consume OFF-state leakage current and support ultra-low power operation. This work utilizes MTJ in conjunction with conventional MOSFET to mitigate variation in design metrics of memory circuit such as 9-transistor SRAM cell [12]. The memory has evolved into a multi-leveled structure with SRAM making up the fastest access memory. In modern microprocessors, this trend has continued, such that SRAM cache itself has multiple levels. For instance, in recent Intel and AMD microprocessors, L1 (level one) cache contains a small amount of the fastest memory cells. At the next level, L2 cache contains a large amount of cells that are slightly slower, and so on. 6T-SRAMs are widely used in L1 and L2 cache arrays while DRAMs are used as large off-chip memory arrays or as embedded DRAMs (eDRAM) as higher level, highdensity caches. Conversely, the design of traditional chargebased SRAMs, DRAMs and eDRAMs pose challenges such as higher standby power and lower noise margin in sub-45 nanometer nodes and beyond. Alternative to 6T-SRAM bitcells, subthreshold 10T [13], low-power 10T [14], and lowleakage 11T [15] SRAM cells have been proposed for lowvoltage and low-power operations. However, the problem of standby power in semiconductor memory remains to be solved. CMOS technology scaling driven by the benefit of integration density, higher speed of operation and lower power dissipation, has overcome many barriers over the past few decades. Presently, it is facing even more complications, which are more severe than earlier. One of them is variability. Variability is becoming a metric of equal importance as power, delay, and area. TG-based fully differential 8T SRAM bitcell proposed in [16] could mitigate variability in design metrics of SRAM cell, but failed to improve read static noise margin (RSNM). This work attempts to mitigate variability in design metrics of MTJ-STT-based nonvolatile memory cell with enhanced read static noise margin (RSNM) in highly scaled technology node such as 22-nm. The non-volatility of the proposed design solves the problem of leakage power in memory. Extensive simulations were performed in HSPICE using 22-nm Predictive Technology Model (PTM) [17] to support the concluding remarks. The rest of the paper is organized as follows. Section II presents the proposed design. Simulation results are discussed and compared in Section III. Finally, the concluding remarks are provided in Section IV. Index Terms— variability, SRAM, RSNM, WSNM, MTJ, hold power I. INTRODUCTION Spintronics is the field of electronics that exploit the spin property of electrons giving rise to spin transistors; contrary to charge of electrons in traditional electronic devices [1-7]. It gives a new direction for research where these spin transistors can be combined with ordinary transistors to extract the maximum potential of both. The promising features of spin transistors are non-volatility and re-configurability. These features are very useful and suitable for future onchip, high-speed, high-density, low-power and nonvolatile memory circuits. The nonvolatile property of spin transistor is best suited for power-gating systems and field programmable gate arrays [8]. There are two kinds of magneto-resistive devices – spin valve (SV) and magnetic tunnel junction (MTJ). Spin valve (SV) devices consist of at least two ferromagnetic metal (FM) layers separated by an ultra-thin nonmagnetic metal (NM) layer. In an MTJ, two ferromagnetic layers – one free layer and the other fixed layer are separated by an oxide layer. The insulating oxide layer is of either AlOx or MgO. The MgO is now preferred to AlOx due to its higher tunnel magnetoresistance (TMR) ratio defined as (RAP – RP)/RP, where RAP and RP are the resistances of MTJ in anti-parallel and parallel magnetic orientation [9]. Spin-transfer torque (STT) phenomenon is used to change the magnetic orientation of the free layer relative to the fixed layer to give a parallel and an antiparallel direction. The parallel orientation of MTJ offers low resistance and conversely, an antiparallel orientation offers high resistance. These two resistance states can be used to denote a logic state of “0” and “1” respectively. These resistance states persist even after the removal of switching current passing through the MTJs. This property is utilized in nonvolatile memory design. The MTJ is also CMOS-compatible with high stability and reliability [10]. Moreover, the STT- MTJ can be fabricated on top of CMOS © 2013 ACEEE DOI: 01.IJRTET.8.2.50 26
  • 2. Full Paper Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013 II. PROPOSED DESIGN AND DEVICE SIZING This paper proposes a STT-MTJ-based nonvolatile differential memory cell (see Fig. 1) (hereafter called NV9T). Its design metrics are assessed and compared with its differential counterpart namely STT-MTJ-based nonvolatile 8T SRAM cell (see Fig. 2) (hereafter called NV8T). The transistors in NV9T cell was sized to maintain pull-up (PU) transistors < pass-gate (PG) transistors < pull-down (PD) transistors. Transistor widths for NV9T cell WPU/WPG/WPD were chosen as 22nm/33nm/44nm respectively. For a fair comparison, transistors of basic 6T portion of both the cells had the same dimensions. Widths of the extra transistors in NV9T (MN5/6/7), were equal to 33nm/33nm/44nm respectively. For extra transistors MN5/6 in NV8T a width of 44 nm was selected. Channel length of all transistors of both the cells was taken to be 22 nm. âratio and ãratio of 6T portion of both the cells were taken to be 1.33 and 0.67 respectively, where âratio = âdriver/âaccess and ãratio = âpull-up/âaccess. This is because typical values of âratio ranges from 1.2 to 3 to avoid read upset in conventional 6T SRAM cell [18]. As write-ability of the SRAM cell is determined by the ãratio or pull-up ratio, the ãratio was decided to be d” 1.8 to maintain good write-ability [19]. Therefore, to maintain an appreciable read stability, writeability and feedback pull-up strength âratio = 1.33 and ãratio = 0.67 were chosen for the proposed design [13]–[16]. The critical design strategy of the proposed cell was the series connection of an MTJ with each driver. These MTJs help in storing and restoring the cell content. A read buffer (MN5/6/7) was used for the cell to isolate storage nodes while reading. This helped in achieving higher read stability. The proposed cell would exhibit very small RSNM without this read buffer since the drivers (MN1/2) were very small and MTJs were in series with them. Thus, this read buffer improved the read stability of the proposed cell. Fig. 1. Proposed nonvolatile 9T SRAM cell (NV9T) III. SIMULATION RESULTS AND DISCUSSION This work focuses on the challenges faced in designing static random access memory in nanometer regime, where 27 © 2013 ACEEE DOI: 01.IJRTET.8.2.50 Fig. 2. Nonvolatile 8T SRAM cell (NV8T) [20] variations occur due to process and environmental parameters such as operating voltage and temperature. The basic reasons of cropping up variations are lateral and vertical dimensions scaling of devices. The problem of variability (defined as standard deviation (σ) to mean (μ) ratio of a design metric) becomes more severe with further miniaturization and hence, it is imperative that this problem be addressed. The solution of the variability problem is to explore new design techniques. This work attempted to mitigate variation in design metrics of SRAM cell. A. Simulation Setup This section presents comparison of various design metrics, which were estimated during MC (Monte Carlo) simulation using 22-nm PTM. In order to analyze variability with MC simulations, channel length (L), channel-doping concentration (NDEP), oxide thickness (tox) and threshold voltage (Vt) of all the devices in the cells were considered as independent random variables and assumed to have Gaussian distribution with 3ó variation of 10% [21]. Anticipated fluctuation in VDD is 10% in future technology generations such as 22-nm [22]. Therefore, most of the design metrics were estimated by varying the supply voltage by ± 10% around the nominal VDD of 0.8 V. Authors in [23] showed that a sample size of 2000 ensures a lower than 4% inaccuracy in the estimation of standard deviation. Hence, a sample size of 5000 [24] was used in this work to achieve even higher accuracy. All parameters were estimated using the above simulation setup. B. Store and Restore Operation In normal SRAM operation of NV8T SR and CTRL signals remain grounded. Storage operation in NV8T is initiated by raising SR and CTRL lines to VVDD (virtual VDD). At the end of storage operation, SR and CTRL pulses are turned low. Then global VDD and GND are disconnected from the VVDD and VGND (virtual GND) by sleep transistors (see Fig. 3) and MRAM array enters into inactive mode in which no read / write operations are performed. Values of the storage nodes are stored in MTJ in the form of RAP/RP (anti-parallel resistance/parallel resistance) in the MTJ. During restore operation, SR and CTRL lines remain grounded and VV DD is raised high by switching sleep transistors ON. Store and restore operation of proposed NV9T SRAM cell is illustrated in Fig. 4. During the store operation,
  • 3. Full Paper Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013 Fig. 3. Power gating with sleep transistors Fig. 5. Read delay comparison Table I. COMPARISON OF WRITE DELAY AND ITS VARIABILITY @ 27°C. Fig. 4. Timing diagram of storage nodes during store and restore operation of proposed nonvolatile 9T SRAM cell (NV9T) VH drops due to discharging of storage node “H” through the leaky devices. When the restore operation is initiated by switching the sleep transistor ON the storage node “H” is restored to its previous high level. C. Read Access Time or Read Delay Read delay is estimated as the time required by BL (bit line)/BLB (bit line bar) to discharge by 50 mV [24]. The 50 mV differential between BL and BLB is good enough to be detected by sense amplifier, thereby avoiding misread [24], [25]. The proposed cell exhibits 38% penalty in read delay at nominal VDD compared with NV8T (see Fig. 5). This penalty occurs due to larger body effect offered by the read buffer and larger bit line capacitance. Fig. 6. Write delay and its variability comparison while writing “1” to “L”, is gauged by sweeping VL (Fig. 7, yaxis) with BLB & WWL high and BL low and monitoring VH (Fig. 7, x-axis). This write VTC is used in combination with the read VTC, which is measured by sweeping VH (Fig. 7, xaxis) and monitoring VL (Fig. 7, y-axis). The side length of the smallest square, which can be embedded between the read and write VTCs of the same SRAM cell at the lower half of the curves, represents WSNM [26]. When WSNM falls below zero, write VTC intersects read VTC, thereby indicating positive write margin and signifying write failure. As can be observed from Fig. 7, the proposed design NV9T exhibits equal write-ability to NV8T . The proposed bitcell exhibits negative write margin, indicating successful write operation. As the proposed cell exhibits higher WSNM (=340 mV) than RSNM (= 330 mV), the cell is RSNM limited (see Fig. 8). D. Write Access Time and Its Variability Write delay is estimated as the time required by the node storing “0” to rise up to 90% of VDD or the node storing “1” to fall by 10% of VDD. As pull-up and access devices are mainly responsible for the write delay and they are of same sizes in both the cells, therefore, only marginal differences in the write delay is observed due to tail MTJ elements in NV9T compared with NV8T (see Table I and Fig. 6). However, the proposed design proves its robustness by exhibiting narrower spread (6% @ nominal VDD of 0.8) in write delay variability. E. Write-ability The write-ability of a cell is measured by write static noise margin (WSNM), which is estimated graphically using read and write VTCs (voltage transfer curves). The write VTC, © 2013 ACEEE DOI: 01.IJRTET.8.2.50 F. Read Stability The standard 6T SRAM cell is found to be unstable at 28
  • 4. Full Paper Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013 Table II. C OMPARISON OF OPERATING FREQUENCY be completely overlapped with the decoder operation. The estimated results are presented in Table II. As observed from the table, the proposed design exhibits lower operating frequency compared with NV8T because of larger body effect and bit line capacitance. H. Leakage Power Dissipation In nanoscale technology, thinner oxide and lower Vt result in significant rise in gate leakage and sub-threshold leakage currents. Therefore, leakage power is now a significant contributor to the total chip power. It is imperative to reduce leakage power to prolong battery life. The comparison of hold power (HPWR) of NV9T and NV8T is shown in Fig. 9 and 10. As can be observed, the proposed design consumes lower leakage power at all temperatures and supply voltages. This is due to the larger body effect offered by read buffer and the use of MTJ elements at the source end of the pull-down drivers. Fig. 7. Static voltage characteristics of SRAM cells during write opera tion Fig. 8. Static voltage characteristics of SRAM cells during read opera tion nanoscale technology generation. It and its variants fail to meet operational requirements due to a low RSNM. The RSNM is estimated graphically as the length of a side of the largest possible square that can be inscribed inside the smaller wing of the butterfly curve. Fig. 8 plots the “butterfly curves” for RSNM of NV9T and NV8T. As can be observed from Fig. 8, NV9T offers higher RSNM compared with NV8T (1.94×) due to isolation of storage nodes from bit lines. Cells with RSNM of at least 25% of VDD are generally considered to have excellent read stability [27]. The proposed cell meets this requirement. Fig. 9. Leakage or hold power versus temperature G.. Performance Analysis For the estimation of the operating frequency, the precharge periods was not taken into account because it can © 2013 ACEEE DOI: 01.IJRTET.8.2.50 Fig. 10. Leakage or hold power versus VDD 29
  • 5. Full Paper Int. J. on Recent Trends in Engineering and Technology, Vol. 8, No. 2, Jan 2013 CONCLUSIONS [13] A. Islam, Mohd. Hasan, and Tughrul Arslan, “Variation Resilient Subthreshold SRAM Cell Design Technique,” International Journal of Electronics, vol. 99, no. 9, pp. 12231227, September 2012. [14] A. Islam and Mohd. Hasan, “Leakage characterization of 10T SRAM cell,” IEEE Trans. Electron Devices, vol. 59, no. 3, pp. 631 – 638, March 2012. [15] A. Islam and Mohd. Hasan, “Variability Aware Low Leakage Reliable SRAM Cell Design Technique,” Microelectronics reliability, vol. 52, no. 6, pp. 1247 – 1252, June 2012. [16] A. Islam and Mohd. Hasan, “A Technique to Mitigate Impact of Process, Voltage and Temperature Variations on Design Metrics of SRAM Cell,” Microelectronics Reliability, vol. 52, no. 2, pp. 405 – 411, February 2012. [17] Nanoscale Integration and Modeling (NIMO) Group, Arizona State University (ASU). [Online]. Available: http:// ptm.asu.edu/. [18] N. Varma, J. Kong, and A. P. Chandrakasan, “Nanometer MOSFET variation in minimum energy subthreshold circuits,” IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 163-174, Jan 2008. [19] Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic, Digital Integrated Circuits: A Design Perspective, 2 ed. New Delhi, India: Prentice-Hall of India, 2005. [20] Y. Shuto, et al., “Nonvolatile SRAM architecture using MOSFET-based spin-transistors,” arxiv.org/pdf/0901.2980. [21] R. Vaddi, S. dasgupta, and R.P . Agarwal, “Device and circuit co-design robustness studies in the subthreshold logic for ultralow-power applications for 32 nm CMOS,” IEEE Trans. Electron Devices, vol. 57, no. 3, pp. 654-664, Mar. 2010. [22] Semiconductor Industry Association (SIA), International Technology Roadmap for Semiconductors 2009 Edition, http:/ /www.itrs.net/Links/2009ITRS/Home2009.htm. [23] M. Alioto, G. Palumbo, and M. Pennisi, “Understanding the effect of process variations on the delay static and domino logic,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 5, pp. 697–710, May. 2010. [24] J. P. Kulkarni, K. Kim, and K. Roy, “A 160mV robust schmitt trigger based subthreshold SRAM,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2303–2313, Oct. 2007. [25] H. Noguchi, S. Okumura, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, and M. Yoshimoto, “Which is the best dual-port SRAM in 45-nm process technology?—8T, 10T single end, and 10T differential,” in Proc. IEEE ICICDT, Jun. 2008, pp. 55–58. [26] Z. Guo, A. Carlson, L-T. Pang, K. T. Doung, T-J. K. Liu, and B. Nikolic, “Large-scale SRAM variability characterization in 45nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3174-3192, Nov. 2009. [27] A. E. Carlson, “Device and circuit techniques for reducing variation in nanoscale SRAM,” Ph.D. dissertation, Univ. California Berkeley, Berkeley, CA, May 2008. This work proposes a low-power and variability-aware nonvolatile 9T SRAM cell. It analyzes the impact of PVT variations on write delay. It consumes lower standby power at all considered temperatures and supply voltages. It also achieves higher read stability (RSNM) without reduction of its write-ability. The proposed design is, therefore, an attractive alternative for low power applications in scaled technology node such as 22-nm and beyond. REFERENCES [1] S. A. Wolf, D. D. Awschalon, R. A. Buhrman, J.M. Daughton, S. vonMolnar,M. L. Roukes, A. Y. Chtchelkanova, and D. M. Treger, “Spintronics: A spin-based electronics vision for the future,” Science, vol. 294,no. 5546, pp. 1488–1495, Nov. 2001. [2] I. Zutic, J. Fabian, and S. Das Sarma, “Spintronics: Fundamentals and applications,” Rev. Mod. Phys., vol. 76, no. 2, pp. 323–410, Apr. 2004. [3] J. Fabian, A. Matos-Abiaguea, C. Ertlera, P. Stano, and I. Zutic, “Semiconductor spintronics,” Acta Physica Slovaca, vol. 57, no. 4–5, pp. 565–907, Aug.–Oct. 2007. [4] S. Bandyopadhyay and M. Cahay, Introduction to Spintronics. Boca Raton, FL: CRC Press, 2008. [5] J.-M. George, M. Elsen, V. Garcia, H. Jaffre’s, and R. Mattana, “Spintonic with semiconductors,” C. R. Physique, vol. 6, no. 9, pp. 966–976, Nov. 2005. [6] C. Chappert, A. Fert, and F. N. Van Dau, “The emergence of spin electronics in data storage,” Nature Mater., vol. 6, no. 11, pp. 813–823, Nov. 2007. [7] D. D. Awschalom and M. E. Flatte, “Challenges for semiconductor spintronics,” Nature Phys., vol. 3, no. 3, pp. 153–159, Mar. 2007. [8] S. Sugahara and J. Nitta, “Spin-trasistor electronics: an overview and outlook,” Proc. IEEE, vol.98. no. 12, pp. 2124 – 2154, Dec. 2010. [9] W. H. Butler, X.-G. Zhang, T. C. Schulthess, and J. M. MacLaren, “Spin dependent tunneling conductance of Fe/MgO/ Fe sandwiches,” Phys. Rev. B, Condens. Matter, vol. 63, no. 5, pp. –054 416, Jan. 2001. [10] S. Ikeda, J. Hayakawa, M. L. Young, F. Matsukura, Y. Ohno, T. Hanyu, and H. Ohno, “Magnetic tunnel junctions for spintronic memories and beyond,” IEEE Trans. Electron Devices, vol. 54, no. 5, pp. 991–1002, May 2007. [11] S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, H. Hasegawa, T. Endoh, H. Ohno, and T. Hanyu, “Fabrication of a nonvolatile full adder based on logic-in-memory architecture using magnetic tunnel junctions,” Appl. Phys. Express, vol. 1, no. 9, pp. 091 301-1–091 301-3, 2008. [12] Z. Liu, and V Kursun, “Characterizatuon of a novel ninetransistor SRAM cell,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 4, pp. 488-492, Apr. 2008. © 2013 ACEEE DOI: 01.IJRTET.8.2.50 30