SlideShare una empresa de Scribd logo
1 de 8
Descargar para leer sin conexión
Scientific Journal Impact Factor (SJIF): 1.711
International Journal of Modern Trends in Engineering
and Research
www.ijmter.com
@IJMTER-2014, All rights Reserved 237
e-ISSN: 2349-9745
p-ISSN: 2393-8161
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED
PROCESSOR IN 8T USING HETTS
Preethi.C.S1
, V.M. Senthilkumar2
1,2
Department of ECE, Vivekanandha College of Engineering for Womem
Abstract— In MOSFETs lower limit sub threshold swing (60mv/decade) restricts the low power
operation. Low voltage operation is enabled by low Vth while maintaining performance. Hence steep
sub threshold slopes provide power-efficient operation without any loss of performance. To obtain
sub threshold swings of less than 30mV/decade with large ON current, Si/SiGe heterojunction
tunneling transistor uses gate controlled modulation. To overcome the impact of HETT
characteristics on SRAM, seven transistors HETT based SRAM design is introduced. Compared to
CMOS this new 8T HETT SRAM achieves reduction in leakage power.
Keywords-8T SRAM, low-power, heterojunction tunneling transistors(HETT), tunneling transistor.
I. INTRODUCTION
Low-power design technique is the most effective operation for its quadratic dynamic energy
saving. The theoretical lower limit of sub-threshold swing in MOSFETs (60 mV/decade)
significantly restricts low-voltage operation since it results in a low ON-to-OFF current ratio at low
supply voltages. In low power techniques, low voltage operation is the effective low-power design
techniques because of its quadratic dynamic energy saving. Low- power circuits based on new
Si/SiGe heterojunction tunneling transistors (HETTs) that have a sub-threshold swing of <60
mV/decade.8T shall produce a better power consumption comparing to other T cells.
II. RELATED WORKS
2.1. HETTS CHARACTERISTICS
A heterojunction is the interface that occurs between two layers or regions of dissimilar
crystalline semi-conductors. heterojunction transistors are fully compatible. The differences between
HETTs and traditional MOSFETs must be considered. HETTs display asymmetric conductance. The
source and gate are interchangeable in MOSFETs and determined by the voltages during the
operation. However in HETTs, source and drain are determined at the time of fabrication.
Tunneling transistors have some slope limitation due to thermionic nature of conventional
MOSFETs, since there is a turn-on it cannot be governed its emission over a barrier. Asymmetric
current flow is taking as an advantage. Compared to CMOS this new HETT SRAM achieves 7-37
times reduction in leakage power. The ON current drops dramatically because of the lack of gate
overdrive, resulting in large transition delays at low supply voltages. The threshold voltage can be
reduced to regain this performance loss. But it exponentially increases the OFF current, which leads
to problems in applications that spend significant time in the standby mode. There have been new
devices with steeper sub threshold slopes then traditional MOSFETs. While maintaining low
leakage, steep sub threshold slope enables operation with a much lower threshold voltage.
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 238
2.2. AN ULTRA-LOW-ENERGY MULTI-STANDARD CMOS WITH Vth
Static random access memory (SRAM) is an indispensable part of most modern VLSI designs
and dominates silicon area in many applications. In scaled technologies, maintaining high SRAM
yield becomes more challenging, At the same time, low power design is a key focus throughout the
semiconductor industry. Since low voltage operation is one of the most effective ways to reduce
power consumption due to its quadratic relationship to energy savings, lowering the minimum
operating voltage (Vmin) of SRAM has gained significant interest.
The concept is about presenting a design technique for sub-threshold operation to achieve low
energy dissipation that is suitable for digital consumer electronic applications. They possess some
demerits in which ultra-low voltage processor is used for less power during performance. The
technique that enables one network to send data via another network where the SRAM cell topology
was presented with 7 – 37 x leakage power reduction.
Figure 2. Full Down Network
2.3. VARIATION-AWARE STATIC AND DYNAMIC WRITABILITY ANALYSIS IN 8-T
SRAMS
To mitigate variability and reduce Vmin, it is important to understand SRAM failure modes and
quantify immunity to failures. To ensure robust operation at low voltage in nano scale technologies,
8-T bit-cell has been proposed which can be separately optimized for read and write since bit-cells
are not interleaved. However, when 8-T bit-cells are interleaved for immunity to soft errors, half
select disturb issue arises and it limits the freedom to maximize its writability. In terms of dynamic
writability, the longer pulse width is favorable to write operation while the shorter pulse width is
favorable to immunity to half select disturb.
As process technology scales, SRAM robustness is compromised. In addition, lowering the
supply voltage to reduce power consumption further reduces the read and write margins. To maintain
robustness, a new bit cell topology, 8-T bit cell, has been proposed and read where write operation
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 239
can be separately optimized.. The half select disturb issue limits the use of a bit-interleaved array
configuration required for immunity to soft errors. The opposing characteristic between write
operation and half select disturb generates a new constraint which should be carefully considered for
robust operation of voltage-scaled bit-interleaved 8-T SRAMs. Bit-interleaved writability analysis
that captures the double-sided constraints placed on the word-line pulse width and voltage level to
ensure writability while avoiding half select disturb issue.
The concept is about lowering the supply voltage to reduce the power consumption further it
reduces the read and write margins. A new bit-cell topology has been introduced in-order to maintain
robustness. The drawback is that when voltage lowers then power also gets lowered where it further
reduces read and write margins. The high- word boosting does not guarantee better robustness as it
lowers half select immunity in which it’s a major drawback due to word-line and the reduction in
power will be as in other bit-cells. The static 8T level perform with half select process.
2.4. COMPLEX FFT CORE WITH SUPERPIPELINING
Aggressive voltage scaling has been shown to be an important technique in achieving highly
energy efficient circuits. Specifically, scaling Vdd to near or sub-threshold regions has been proposed
for energy-constrained sensor systems to enable long lifetime and small system volume. However,
voltage scaling has several limitations, including significant performance degradation and heightened
delay variability due to large Id sensitivity to variations in the ultra-low voltage (ULV) regime. In
addition, energy efficiency degrades below a certain voltage, Vmin, due to rapidly increasing leakage
energy consumption.
Pipelining is a well-known method to improve performance, typically at the expense of energy
consumption due to added sequential elements. Make the observation that inserting additional pipeline
latches improves both energy efficiency and performance in the ULV operating regime. Since
pipelining shortens the clock period, it limits leakage energy consumed by idling gates, which reduces
energy consumption and allows further voltage scaling.
In case of pipelining the clocking overhead is reduced hence super pipelining is used to address
the latch-based design. The concept is based on using the circuit and architectural methods to reduce
the minimum energy point while simultaneously improve performance and robustness. By inserting
additional pipeline latches it improves both energy and efficiency and performance in ULV operating
regime.
2.5. PROSPECT OF TUNNELING GREEN TRANSISTOR
Reducing the voltage Vdd is a powerful way to reduce IC energy consumption, which is
proportional to Vdd2. Power usage was kept under control when Vdd was reduced in proportion to
half-pitch up to 130nm . The 14nm node is projected to operate at 0.7V, making the power
consumption 25x larger than it would be if operated at 0.14V as the past trend suggests. While IC
power consumption has been much discussed as a thermal management challenge, it is also
responsible for a few percent of the electricity usage and growing fast. A low voltage transistor
(Green Transistor, gFET) is needed.
III. METHODOLOGY AND MATERIALS USED
A typical SRAM cell is made up of six MOSFETs. Each bit in an SRAM is stored on four
transistors that form two cross-coupled inverters. This storage cell has two stable states which are
used to denote 0 and 1. Two additional access transistors serve to control the access to a storage cell
during read and write operations in addition to such six-transistors. During read accesses, the bit lines are
actively driven high and low by the inverters in the SRAM cell.
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 240
This improves SRAM bandwidth compared to DRAMs in a DRAM, the bit line is connected to
storage capacitors and charge sharing causes the bit line to swing upwards or downwards. The
symmetric structure of SRAMs also allows for differential signaling, which makes small voltage
swings more easily detectable. These access the SRAM band-with with the other cell- topology and
better result could be obtained.
IV. SRAM OPERATIONS
An SRAM cell has three different states. It can be in: standby (the circuit is idle), reading (the
data has been requested) and writing (updating the contents). The SRAM to operate in read mode
and write mode should have "readability" and "write stability" respectively. The three different states
work as follows:
(i) Standby -If the word line is not asserted, the access transistors M5 and M6 disconnect the cell
from the bit lines. The two cross-coupled inverters formed by M1 – M4 will continue to reinforce
each other as long as they are connected to the supply.
(ii) Reading -Assume that the content of the memory is a 1, stored at Q. The read cycle is started
by pre charging both the bit lines to a logical 1, then asserting the word line WL, enabling both the
access transistors.
(iii) Writing -During a read operation these two bit lines are connected to the sense amplifier that
recognizes if a logic data ―1‖ or ―0‖ is stored in the selected elementary cell. This sense amplifier
then transfers the logic state to the output buffer which is connected to the output pad.
4.1. CMOS STANDARD 6T SRAM
SRAM cell is composed of six transistors, one NMOS transistor and one PMOS transistor for
each inverter, plus two NMOS transistors connected to the row line. This configuration is called a 6T
Cell. The main disadvantage of this cell is its large size. To understand the difference between HETT-
based 6T SRAM and CMOS-based 6T SRAM, trace the current flow paths in read and write
operations.
4.2. 6T SRAM DESIGN WITH HETT
The asymmetric current flow of HETT places restrictions on the use of the pass gate and the
transmission gate. This limitation is not severe for logic circuits since the CMOS logic, which is the
most widely used logic, is not affected by this property because the current is expected to flow only in
one direction in the channel of each transistors. Moreover, any pass-gate logic can be easily converted
to CMOS logic to prevent malfunctions with HETT. The asymmetric current flow does not have a
proper current flow through the unit and it can be able to produce a better area and power
consumption in other topology.
4.3. 7TRANSISTOR SRAM
A seven-transistor SRAM cell intended for the advanced microprocessor. A low power write
scheme, which reduces SRAM power by using seven-transistor sense-amplifying memory cell, has
been described. By reducing the bit-line swing and amplifying the voltage swing by a sense amplifier,
which is a part of the memory cell, the charging and discharging component bit / data lines power
consumption is reduced. A comparison can done between existing six-transistor technology and the
proposed (seven-transistor) technology.
4.4. 7T SRAM for HETT
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 241
In 7T topology, readability/write-ability tradeoffs in HETT-based 6T SRAM are overcome by
utilizing separate read and write structures. The reduced 8T read enables extremely robust read with
minimal additional number of HETT, and two-side NHETT pull-down write enables robust write with
cell β -ratio of 1, where all HETT sizes can be minimum.
4.5. 7T HETT SRAM IN REGISTER FILES OF PROCESSOR
To obtain the power reduction in processor, the predesigned 7T HETT SRAM is used in the
register files of the processor. Here SRAM and CAM based processors are used. CAM based
processors are used to get the address of the specified date or input. Register files and data path are
used to gain insight into the internal logic for data movement between registers in a computer. RAMs
are distinguished by having dedicated read and write ports, whereas ordinary multi-ported SRAMs
will usually read and write through the same ports.
Tanner EDA provides of a complete line of software solutions for the design, layout and
verification of analog and mixed-signal (A/MS) ICs and MEMS. Customers are creating breakthrough
applications in areas such as power management, displays and imaging, automotive, consumer
electronics, life sciences, and RF devices. A low learning curve, high interoperability, and a powerful
user interface improve design team productivity and enable a low total cost of ownership (TCO).
Capability and performance are matched by low support requirements and high support capability as
well as an ecosystem of partners that bring advanced capabilities to A/MS designs. Tanner Tools v16
offers many new features and significantly greater functionality. The 8T SRAM performance will be
better compared to other SRAM and Power savings can be done through HETTs as in other SRAM
cell topology.
V. CONCLUSION
Power minimization has become a primary concern in microprocessor design. In recent years,
many circuit and micro-architectural innovations have been proposed to reduce power in many
individual processor units. The main advantages of 8T SRAM HETT are <15% area overhead
,improved robustness, low leakage current, compatible fabrication process, separate current paths for
read and write operation and significantly reduced standby power as compared to that of CMOS 6T
SRAM and 7T SRAM. These static access can be performed and obtained a better result using
TANNER EDA tool in 8T. Power comparison will be better compared to other previous SRAM
transistors.
REFERENCES
[1] Y. Pu, J. P. de Gyvez, H. Corporaal, and Y. Ha, “An ultralowenergy/frame multi-standard JPEG co-processor in 65 nm
CMOS with/near-threshold power supply,” inProc. Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2009, pp. 146–
147.
[2] D. Kim, V. Chandra, R. Aitken, D. Blaauw, and D. Sylvester, “Variationaware static and dynamic writability analysis
for voltage-scaled bitinterleaved 8-T SRAMs,” in Proc. Int. Symp. Lowpower ElectronDesign, 2011, pp. 145–150.
[3] M. Seok, D. Jeon, C. Chakrabarti, D. Blaauw, and D. Sylvester, “A 0.27 V, 30 MHz, 17.7 nJ/transform 1024-pt
complex FFT core with super-pipelining,” in Proc. Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2011, pp. 342–
343
[4] C. Hu, P. Patel, A. Bowonder, K. Jeon, S. H. Kim, W. Y. Loh, C. Y.Kang, J. Oh, P. Majhi, A. Javey, T.-J. K. Liu, and
R. Jammy, “Prospect of tunneling green transistor for 0.1 V CMOS,” inProc. IEDM Dig. Tech., 2010, pp. 16.1.1–16.1.4.
[5] R. Aitken , D. Blaauw, V. Chandra, D. Kim, and D. Sylvester(2011), ―Variation- aware static and dynamic
writability analysis for voltage-scaled bit-interleaved 8-T SRAMs,‖ in Proc. Int. Symp. Lowpower Electron. Design, pp.
145–150
[6] R. E. Aly and M. A. Bayoumi(Apr. 2007), ―Low-power cache design using 7T SRAM cell,‖ IEEE Trans. Circuit
Syst. II, Exp. Briefs, vol. 54, no. 4, pp. 318–322.
[7] S. Bang , D.Blaauw , P. Dutta , G. Kim , Y. Kim , I. Lee ,Y. Lee, D. Sylvester(Feb. 2012) ,―A modular 1 mm3 die-
stacked sensing platform with optical communication and multi-modal energy harvesting,‖ in Proc. Int. Solid-State
Circuits Conf. Dig. Tech. Papers, pp. 402–404
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 242
[8] D. Blaauw, C. Chakrabarti, D. Jeon, M. Seok,and D. Sylvester(Feb. 2011) ―A 0.27 V, 30 MHz, 17.7 nJ/transform
1024-pt complex FFT core with super-pipelining,‖ in Proc. Int. Solid-State Circuits Conf. Dig. Tech. Papers, pp. 342–343
[9] D. Blaauw , K. Flautner , D. Sylvester ,and B. Zhai(2004),―Theoretical practical limits of dynamic voltage scaling,‖
in Proc. Annu. Design Autom. Conf., pp. 868–873
[10] A. Bowonder ,C. Hu, R. Jammy, A. Javey ,K. Jeon , C. Y. Kang ,S. H. Kim , W. Y. Loh , P. Majhi ,J. Oh ,and P.
Patel(2010), ―Prospect of tunneling green transistor for 0.1 V CMOS,‖ in Proc. IEDM Dig. Tech., pp. 16.1.1–16.1.4
[11] I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy(Feb.2009), ―A 32 kb 10T sub-threshold SRAM array with bit-
interleaving and differential read scheme in 90 nm CMOS,‖ IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 650–658.
[12] H. Corporaal , J.P. de Gyvez , Y. Ha ,Y. Pu(Feb. 2009),―An ultralow-energy/frame multi-standard JPEG co-
processor in 65 nm CMOS with sub/near-threshold power supply,‖ in Proc. Int. Solid-State Circuits Conf. Dig. Tech.
Papers,pp. 146–147.
[13] Daeyeon Kim, David Blaauw, Dennis Sylvester,Isaac Lauer,Yoonmyung Lee, Jin Cai, Leland hang, and Steven J.
Koester(2013)―Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs),IEEE
Transaction.
[14] Houman Homayoun, Member,Avesta Sasan, Student Member,Jean-Luc Gaudiotand Alex
Veidenbaum(2011)―Reducing Power in All MajorCAMand SRAM-Based Processor Units via Centralized, Dynamic
Resource Size Management‖, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 19, No. 11
[15] M. Iijima, K. Seto, M. Numa, A. Tada, and T. Ipposhi(Aug. 2007), ―Improved write margin for 90 nm SOI-7T-
SRAM by look-ahead dynamic threshold voltage control,‖ in Proc. Midwest Symp. Circuits Syst., pp.578–581.
[16] L.Leem, A. Srivastava, S. Li, B. Magyari-Kope, G. Iannaccone, J. S.Harris, and G. Fiori(2010),―Multi-scale
simulation of partially unzipped CNT hetero-junction tunneling field effect transistor,‖ in IEDM Tech. Dig.,pp. 32.5.1–
32.5.4.
[17] S. Okumura, S. Yoshimoto, K. Yamaguchi, Y. Nakata, H. Kawaguchi,and M. Yoshimoto(Sep. 2010), ―7T SRAM
enabling low-energy simultaneous block copy,‖ in Proc. Custom Integr. Circuits Conf., pp. 1–4.
[18] Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, Reducing the Complexity of the Register File in
Dynamic Superscalar Processors.
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS

Más contenido relacionado

La actualidad más candente

NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 
A low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensationA low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensation
journalBEEI
 
A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...
A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...
A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...
International Journal of Power Electronics and Drive Systems
 

La actualidad más candente (19)

Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparators
 
Highly efficient Active Front End enables trouble free operation of low harmo...
Highly efficient Active Front End enables trouble free operation of low harmo...Highly efficient Active Front End enables trouble free operation of low harmo...
Highly efficient Active Front End enables trouble free operation of low harmo...
 
Internship Training Project Report "PowerGrid"
Internship Training Project Report "PowerGrid"Internship Training Project Report "PowerGrid"
Internship Training Project Report "PowerGrid"
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
Active Harmonic Filter vs Passive | Installation Catalogue
Active Harmonic Filter vs Passive | Installation CatalogueActive Harmonic Filter vs Passive | Installation Catalogue
Active Harmonic Filter vs Passive | Installation Catalogue
 
IRJET- Relay Protection – An Analysis
IRJET- Relay Protection – An AnalysisIRJET- Relay Protection – An Analysis
IRJET- Relay Protection – An Analysis
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
IRJET- Methods for Improved Efficiency in DC-DC Buck Converter
IRJET-  	  Methods for Improved Efficiency in DC-DC Buck ConverterIRJET-  	  Methods for Improved Efficiency in DC-DC Buck Converter
IRJET- Methods for Improved Efficiency in DC-DC Buck Converter
 
Solid State Fault Current Limiter for Improvement of Smart Grid Performance
Solid State Fault Current Limiter for Improvement of Smart Grid PerformanceSolid State Fault Current Limiter for Improvement of Smart Grid Performance
Solid State Fault Current Limiter for Improvement of Smart Grid Performance
 
A low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensationA low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensation
 
Improved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power ReductionImproved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power Reduction
 
Analsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substationsAnalsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substations
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
PERFORMANCE ANALYSIS OF MODIFIED QSERL CIRCUIT
PERFORMANCE ANALYSIS OF MODIFIED QSERL CIRCUITPERFORMANCE ANALYSIS OF MODIFIED QSERL CIRCUIT
PERFORMANCE ANALYSIS OF MODIFIED QSERL CIRCUIT
 
IRJET- Five Level Inverter based Single Phase to Three Phase Converter
IRJET- Five Level Inverter based Single Phase to Three Phase ConverterIRJET- Five Level Inverter based Single Phase to Three Phase Converter
IRJET- Five Level Inverter based Single Phase to Three Phase Converter
 
A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...
A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...
A New Structure of Dynamic Voltage Restorer Based on Asymmetrical ī-source In...
 
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
 
IRJET- Modified Cascaded H - Bridge Multilevel Inverter for Household Appliances
IRJET- Modified Cascaded H - Bridge Multilevel Inverter for Household AppliancesIRJET- Modified Cascaded H - Bridge Multilevel Inverter for Household Appliances
IRJET- Modified Cascaded H - Bridge Multilevel Inverter for Household Appliances
 
Power Gating
Power GatingPower Gating
Power Gating
 

Destacado

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD Editor
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
IOSR Journals
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
Grace Abraham
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
chiportal
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b Editado
Texas Instruments
 

Destacado (16)

International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b Editado
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects Titles
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 

Similar a FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
IJECEIAES
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 

Similar a FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS (20)

A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
Ijetcas14 632
Ijetcas14 632Ijetcas14 632
Ijetcas14 632
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
Low power sram
Low power sramLow power sram
Low power sram
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
Analysis of FinFET based Low Power SRAM Cell
Analysis of FinFET based Low Power SRAM CellAnalysis of FinFET based Low Power SRAM Cell
Analysis of FinFET based Low Power SRAM Cell
 
Ef31876879
Ef31876879Ef31876879
Ef31876879
 

Más de Editor IJMTER

A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
Editor IJMTER
 
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMESA CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
Editor IJMTER
 
Textual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative AnalysisTextual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative Analysis
Editor IJMTER
 
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICESURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
Editor IJMTER
 
Software Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing SchemeSoftware Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing Scheme
Editor IJMTER
 
Software Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global AnalysisSoftware Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global Analysis
Editor IJMTER
 

Más de Editor IJMTER (20)

A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
 
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
 
Analysis of VoIP Traffic in WiMAX Environment
Analysis of VoIP Traffic in WiMAX EnvironmentAnalysis of VoIP Traffic in WiMAX Environment
Analysis of VoIP Traffic in WiMAX Environment
 
A Hybrid Cloud Approach for Secure Authorized De-Duplication
A Hybrid Cloud Approach for Secure Authorized De-DuplicationA Hybrid Cloud Approach for Secure Authorized De-Duplication
A Hybrid Cloud Approach for Secure Authorized De-Duplication
 
Aging protocols that could incapacitate the Internet
Aging protocols that could incapacitate the InternetAging protocols that could incapacitate the Internet
Aging protocols that could incapacitate the Internet
 
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
 
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMESA CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
 
Sustainable Construction With Foam Concrete As A Green Green Building Material
Sustainable Construction With Foam Concrete As A Green Green Building MaterialSustainable Construction With Foam Concrete As A Green Green Building Material
Sustainable Construction With Foam Concrete As A Green Green Building Material
 
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TESTUSE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
 
Textual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative AnalysisTextual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative Analysis
 
Testing of Matrices Multiplication Methods on Different Processors
Testing of Matrices Multiplication Methods on Different ProcessorsTesting of Matrices Multiplication Methods on Different Processors
Testing of Matrices Multiplication Methods on Different Processors
 
Survey on Malware Detection Techniques
Survey on Malware Detection TechniquesSurvey on Malware Detection Techniques
Survey on Malware Detection Techniques
 
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICESURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
 
SURVEY OF GLAUCOMA DETECTION METHODS
SURVEY OF GLAUCOMA DETECTION METHODSSURVEY OF GLAUCOMA DETECTION METHODS
SURVEY OF GLAUCOMA DETECTION METHODS
 
Survey: Multipath routing for Wireless Sensor Network
Survey: Multipath routing for Wireless Sensor NetworkSurvey: Multipath routing for Wireless Sensor Network
Survey: Multipath routing for Wireless Sensor Network
 
Step up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor DriveStep up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor Drive
 
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATIONSPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
 
Software Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing SchemeSoftware Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing Scheme
 
Software Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global AnalysisSoftware Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global Analysis
 
Software Cost Estimation Using Clustering and Ranking Scheme
Software Cost Estimation Using Clustering and Ranking SchemeSoftware Cost Estimation Using Clustering and Ranking Scheme
Software Cost Estimation Using Clustering and Ranking Scheme
 

Último

Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Christo Ananth
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
rknatarajan
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
ankushspencer015
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Dr.Costas Sachpazis
 

Último (20)

Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 

FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS

  • 1. Scientific Journal Impact Factor (SJIF): 1.711 International Journal of Modern Trends in Engineering and Research www.ijmter.com @IJMTER-2014, All rights Reserved 237 e-ISSN: 2349-9745 p-ISSN: 2393-8161 FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS Preethi.C.S1 , V.M. Senthilkumar2 1,2 Department of ECE, Vivekanandha College of Engineering for Womem Abstract— In MOSFETs lower limit sub threshold swing (60mv/decade) restricts the low power operation. Low voltage operation is enabled by low Vth while maintaining performance. Hence steep sub threshold slopes provide power-efficient operation without any loss of performance. To obtain sub threshold swings of less than 30mV/decade with large ON current, Si/SiGe heterojunction tunneling transistor uses gate controlled modulation. To overcome the impact of HETT characteristics on SRAM, seven transistors HETT based SRAM design is introduced. Compared to CMOS this new 8T HETT SRAM achieves reduction in leakage power. Keywords-8T SRAM, low-power, heterojunction tunneling transistors(HETT), tunneling transistor. I. INTRODUCTION Low-power design technique is the most effective operation for its quadratic dynamic energy saving. The theoretical lower limit of sub-threshold swing in MOSFETs (60 mV/decade) significantly restricts low-voltage operation since it results in a low ON-to-OFF current ratio at low supply voltages. In low power techniques, low voltage operation is the effective low-power design techniques because of its quadratic dynamic energy saving. Low- power circuits based on new Si/SiGe heterojunction tunneling transistors (HETTs) that have a sub-threshold swing of <60 mV/decade.8T shall produce a better power consumption comparing to other T cells. II. RELATED WORKS 2.1. HETTS CHARACTERISTICS A heterojunction is the interface that occurs between two layers or regions of dissimilar crystalline semi-conductors. heterojunction transistors are fully compatible. The differences between HETTs and traditional MOSFETs must be considered. HETTs display asymmetric conductance. The source and gate are interchangeable in MOSFETs and determined by the voltages during the operation. However in HETTs, source and drain are determined at the time of fabrication. Tunneling transistors have some slope limitation due to thermionic nature of conventional MOSFETs, since there is a turn-on it cannot be governed its emission over a barrier. Asymmetric current flow is taking as an advantage. Compared to CMOS this new HETT SRAM achieves 7-37 times reduction in leakage power. The ON current drops dramatically because of the lack of gate overdrive, resulting in large transition delays at low supply voltages. The threshold voltage can be reduced to regain this performance loss. But it exponentially increases the OFF current, which leads to problems in applications that spend significant time in the standby mode. There have been new devices with steeper sub threshold slopes then traditional MOSFETs. While maintaining low leakage, steep sub threshold slope enables operation with a much lower threshold voltage.
  • 2. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 238 2.2. AN ULTRA-LOW-ENERGY MULTI-STANDARD CMOS WITH Vth Static random access memory (SRAM) is an indispensable part of most modern VLSI designs and dominates silicon area in many applications. In scaled technologies, maintaining high SRAM yield becomes more challenging, At the same time, low power design is a key focus throughout the semiconductor industry. Since low voltage operation is one of the most effective ways to reduce power consumption due to its quadratic relationship to energy savings, lowering the minimum operating voltage (Vmin) of SRAM has gained significant interest. The concept is about presenting a design technique for sub-threshold operation to achieve low energy dissipation that is suitable for digital consumer electronic applications. They possess some demerits in which ultra-low voltage processor is used for less power during performance. The technique that enables one network to send data via another network where the SRAM cell topology was presented with 7 – 37 x leakage power reduction. Figure 2. Full Down Network 2.3. VARIATION-AWARE STATIC AND DYNAMIC WRITABILITY ANALYSIS IN 8-T SRAMS To mitigate variability and reduce Vmin, it is important to understand SRAM failure modes and quantify immunity to failures. To ensure robust operation at low voltage in nano scale technologies, 8-T bit-cell has been proposed which can be separately optimized for read and write since bit-cells are not interleaved. However, when 8-T bit-cells are interleaved for immunity to soft errors, half select disturb issue arises and it limits the freedom to maximize its writability. In terms of dynamic writability, the longer pulse width is favorable to write operation while the shorter pulse width is favorable to immunity to half select disturb. As process technology scales, SRAM robustness is compromised. In addition, lowering the supply voltage to reduce power consumption further reduces the read and write margins. To maintain robustness, a new bit cell topology, 8-T bit cell, has been proposed and read where write operation
  • 3. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 239 can be separately optimized.. The half select disturb issue limits the use of a bit-interleaved array configuration required for immunity to soft errors. The opposing characteristic between write operation and half select disturb generates a new constraint which should be carefully considered for robust operation of voltage-scaled bit-interleaved 8-T SRAMs. Bit-interleaved writability analysis that captures the double-sided constraints placed on the word-line pulse width and voltage level to ensure writability while avoiding half select disturb issue. The concept is about lowering the supply voltage to reduce the power consumption further it reduces the read and write margins. A new bit-cell topology has been introduced in-order to maintain robustness. The drawback is that when voltage lowers then power also gets lowered where it further reduces read and write margins. The high- word boosting does not guarantee better robustness as it lowers half select immunity in which it’s a major drawback due to word-line and the reduction in power will be as in other bit-cells. The static 8T level perform with half select process. 2.4. COMPLEX FFT CORE WITH SUPERPIPELINING Aggressive voltage scaling has been shown to be an important technique in achieving highly energy efficient circuits. Specifically, scaling Vdd to near or sub-threshold regions has been proposed for energy-constrained sensor systems to enable long lifetime and small system volume. However, voltage scaling has several limitations, including significant performance degradation and heightened delay variability due to large Id sensitivity to variations in the ultra-low voltage (ULV) regime. In addition, energy efficiency degrades below a certain voltage, Vmin, due to rapidly increasing leakage energy consumption. Pipelining is a well-known method to improve performance, typically at the expense of energy consumption due to added sequential elements. Make the observation that inserting additional pipeline latches improves both energy efficiency and performance in the ULV operating regime. Since pipelining shortens the clock period, it limits leakage energy consumed by idling gates, which reduces energy consumption and allows further voltage scaling. In case of pipelining the clocking overhead is reduced hence super pipelining is used to address the latch-based design. The concept is based on using the circuit and architectural methods to reduce the minimum energy point while simultaneously improve performance and robustness. By inserting additional pipeline latches it improves both energy and efficiency and performance in ULV operating regime. 2.5. PROSPECT OF TUNNELING GREEN TRANSISTOR Reducing the voltage Vdd is a powerful way to reduce IC energy consumption, which is proportional to Vdd2. Power usage was kept under control when Vdd was reduced in proportion to half-pitch up to 130nm . The 14nm node is projected to operate at 0.7V, making the power consumption 25x larger than it would be if operated at 0.14V as the past trend suggests. While IC power consumption has been much discussed as a thermal management challenge, it is also responsible for a few percent of the electricity usage and growing fast. A low voltage transistor (Green Transistor, gFET) is needed. III. METHODOLOGY AND MATERIALS USED A typical SRAM cell is made up of six MOSFETs. Each bit in an SRAM is stored on four transistors that form two cross-coupled inverters. This storage cell has two stable states which are used to denote 0 and 1. Two additional access transistors serve to control the access to a storage cell during read and write operations in addition to such six-transistors. During read accesses, the bit lines are actively driven high and low by the inverters in the SRAM cell.
  • 4. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 240 This improves SRAM bandwidth compared to DRAMs in a DRAM, the bit line is connected to storage capacitors and charge sharing causes the bit line to swing upwards or downwards. The symmetric structure of SRAMs also allows for differential signaling, which makes small voltage swings more easily detectable. These access the SRAM band-with with the other cell- topology and better result could be obtained. IV. SRAM OPERATIONS An SRAM cell has three different states. It can be in: standby (the circuit is idle), reading (the data has been requested) and writing (updating the contents). The SRAM to operate in read mode and write mode should have "readability" and "write stability" respectively. The three different states work as follows: (i) Standby -If the word line is not asserted, the access transistors M5 and M6 disconnect the cell from the bit lines. The two cross-coupled inverters formed by M1 – M4 will continue to reinforce each other as long as they are connected to the supply. (ii) Reading -Assume that the content of the memory is a 1, stored at Q. The read cycle is started by pre charging both the bit lines to a logical 1, then asserting the word line WL, enabling both the access transistors. (iii) Writing -During a read operation these two bit lines are connected to the sense amplifier that recognizes if a logic data ―1‖ or ―0‖ is stored in the selected elementary cell. This sense amplifier then transfers the logic state to the output buffer which is connected to the output pad. 4.1. CMOS STANDARD 6T SRAM SRAM cell is composed of six transistors, one NMOS transistor and one PMOS transistor for each inverter, plus two NMOS transistors connected to the row line. This configuration is called a 6T Cell. The main disadvantage of this cell is its large size. To understand the difference between HETT- based 6T SRAM and CMOS-based 6T SRAM, trace the current flow paths in read and write operations. 4.2. 6T SRAM DESIGN WITH HETT The asymmetric current flow of HETT places restrictions on the use of the pass gate and the transmission gate. This limitation is not severe for logic circuits since the CMOS logic, which is the most widely used logic, is not affected by this property because the current is expected to flow only in one direction in the channel of each transistors. Moreover, any pass-gate logic can be easily converted to CMOS logic to prevent malfunctions with HETT. The asymmetric current flow does not have a proper current flow through the unit and it can be able to produce a better area and power consumption in other topology. 4.3. 7TRANSISTOR SRAM A seven-transistor SRAM cell intended for the advanced microprocessor. A low power write scheme, which reduces SRAM power by using seven-transistor sense-amplifying memory cell, has been described. By reducing the bit-line swing and amplifying the voltage swing by a sense amplifier, which is a part of the memory cell, the charging and discharging component bit / data lines power consumption is reduced. A comparison can done between existing six-transistor technology and the proposed (seven-transistor) technology. 4.4. 7T SRAM for HETT
  • 5. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 241 In 7T topology, readability/write-ability tradeoffs in HETT-based 6T SRAM are overcome by utilizing separate read and write structures. The reduced 8T read enables extremely robust read with minimal additional number of HETT, and two-side NHETT pull-down write enables robust write with cell β -ratio of 1, where all HETT sizes can be minimum. 4.5. 7T HETT SRAM IN REGISTER FILES OF PROCESSOR To obtain the power reduction in processor, the predesigned 7T HETT SRAM is used in the register files of the processor. Here SRAM and CAM based processors are used. CAM based processors are used to get the address of the specified date or input. Register files and data path are used to gain insight into the internal logic for data movement between registers in a computer. RAMs are distinguished by having dedicated read and write ports, whereas ordinary multi-ported SRAMs will usually read and write through the same ports. Tanner EDA provides of a complete line of software solutions for the design, layout and verification of analog and mixed-signal (A/MS) ICs and MEMS. Customers are creating breakthrough applications in areas such as power management, displays and imaging, automotive, consumer electronics, life sciences, and RF devices. A low learning curve, high interoperability, and a powerful user interface improve design team productivity and enable a low total cost of ownership (TCO). Capability and performance are matched by low support requirements and high support capability as well as an ecosystem of partners that bring advanced capabilities to A/MS designs. Tanner Tools v16 offers many new features and significantly greater functionality. The 8T SRAM performance will be better compared to other SRAM and Power savings can be done through HETTs as in other SRAM cell topology. V. CONCLUSION Power minimization has become a primary concern in microprocessor design. In recent years, many circuit and micro-architectural innovations have been proposed to reduce power in many individual processor units. The main advantages of 8T SRAM HETT are <15% area overhead ,improved robustness, low leakage current, compatible fabrication process, separate current paths for read and write operation and significantly reduced standby power as compared to that of CMOS 6T SRAM and 7T SRAM. These static access can be performed and obtained a better result using TANNER EDA tool in 8T. Power comparison will be better compared to other previous SRAM transistors. REFERENCES [1] Y. Pu, J. P. de Gyvez, H. Corporaal, and Y. Ha, “An ultralowenergy/frame multi-standard JPEG co-processor in 65 nm CMOS with/near-threshold power supply,” inProc. Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2009, pp. 146– 147. [2] D. Kim, V. Chandra, R. Aitken, D. Blaauw, and D. Sylvester, “Variationaware static and dynamic writability analysis for voltage-scaled bitinterleaved 8-T SRAMs,” in Proc. Int. Symp. Lowpower ElectronDesign, 2011, pp. 145–150. [3] M. Seok, D. Jeon, C. Chakrabarti, D. Blaauw, and D. Sylvester, “A 0.27 V, 30 MHz, 17.7 nJ/transform 1024-pt complex FFT core with super-pipelining,” in Proc. Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2011, pp. 342– 343 [4] C. Hu, P. Patel, A. Bowonder, K. Jeon, S. H. Kim, W. Y. Loh, C. Y.Kang, J. Oh, P. Majhi, A. Javey, T.-J. K. Liu, and R. Jammy, “Prospect of tunneling green transistor for 0.1 V CMOS,” inProc. IEDM Dig. Tech., 2010, pp. 16.1.1–16.1.4. [5] R. Aitken , D. Blaauw, V. Chandra, D. Kim, and D. Sylvester(2011), ―Variation- aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs,‖ in Proc. Int. Symp. Lowpower Electron. Design, pp. 145–150 [6] R. E. Aly and M. A. Bayoumi(Apr. 2007), ―Low-power cache design using 7T SRAM cell,‖ IEEE Trans. Circuit Syst. II, Exp. Briefs, vol. 54, no. 4, pp. 318–322. [7] S. Bang , D.Blaauw , P. Dutta , G. Kim , Y. Kim , I. Lee ,Y. Lee, D. Sylvester(Feb. 2012) ,―A modular 1 mm3 die- stacked sensing platform with optical communication and multi-modal energy harvesting,‖ in Proc. Int. Solid-State Circuits Conf. Dig. Tech. Papers, pp. 402–404
  • 6. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 01, Issue 05, [November - 2014] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 242 [8] D. Blaauw, C. Chakrabarti, D. Jeon, M. Seok,and D. Sylvester(Feb. 2011) ―A 0.27 V, 30 MHz, 17.7 nJ/transform 1024-pt complex FFT core with super-pipelining,‖ in Proc. Int. Solid-State Circuits Conf. Dig. Tech. Papers, pp. 342–343 [9] D. Blaauw , K. Flautner , D. Sylvester ,and B. Zhai(2004),―Theoretical practical limits of dynamic voltage scaling,‖ in Proc. Annu. Design Autom. Conf., pp. 868–873 [10] A. Bowonder ,C. Hu, R. Jammy, A. Javey ,K. Jeon , C. Y. Kang ,S. H. Kim , W. Y. Loh , P. Majhi ,J. Oh ,and P. Patel(2010), ―Prospect of tunneling green transistor for 0.1 V CMOS,‖ in Proc. IEDM Dig. Tech., pp. 16.1.1–16.1.4 [11] I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy(Feb.2009), ―A 32 kb 10T sub-threshold SRAM array with bit- interleaving and differential read scheme in 90 nm CMOS,‖ IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 650–658. [12] H. Corporaal , J.P. de Gyvez , Y. Ha ,Y. Pu(Feb. 2009),―An ultralow-energy/frame multi-standard JPEG co- processor in 65 nm CMOS with sub/near-threshold power supply,‖ in Proc. Int. Solid-State Circuits Conf. Dig. Tech. Papers,pp. 146–147. [13] Daeyeon Kim, David Blaauw, Dennis Sylvester,Isaac Lauer,Yoonmyung Lee, Jin Cai, Leland hang, and Steven J. Koester(2013)―Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs),IEEE Transaction. [14] Houman Homayoun, Member,Avesta Sasan, Student Member,Jean-Luc Gaudiotand Alex Veidenbaum(2011)―Reducing Power in All MajorCAMand SRAM-Based Processor Units via Centralized, Dynamic Resource Size Management‖, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 19, No. 11 [15] M. Iijima, K. Seto, M. Numa, A. Tada, and T. Ipposhi(Aug. 2007), ―Improved write margin for 90 nm SOI-7T- SRAM by look-ahead dynamic threshold voltage control,‖ in Proc. Midwest Symp. Circuits Syst., pp.578–581. [16] L.Leem, A. Srivastava, S. Li, B. Magyari-Kope, G. Iannaccone, J. S.Harris, and G. Fiori(2010),―Multi-scale simulation of partially unzipped CNT hetero-junction tunneling field effect transistor,‖ in IEDM Tech. Dig.,pp. 32.5.1– 32.5.4. [17] S. Okumura, S. Yoshimoto, K. Yamaguchi, Y. Nakata, H. Kawaguchi,and M. Yoshimoto(Sep. 2010), ―7T SRAM enabling low-energy simultaneous block copy,‖ in Proc. Custom Integr. Circuits Conf., pp. 1–4. [18] Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, Reducing the Complexity of the Register File in Dynamic Superscalar Processors.