SlideShare una empresa de Scribd logo
1 de 10
Descargar para leer sin conexión
VLSI IEEE Projects Titles – 2016-2017
LeMeniz Infotech
36, 100 feet Road, Natesan Nagar( Near Indira Gandhi Statue and Next to Fish-O-Fish),
Pondicherry-605 005
Web : www.ieeemaster.com / www.lemenizinfotech.com
Mail : info@lemenizinfotech.com / projects@lemenizinfotech.com
Phone : 9566355386 / 9962588976
S.No Title Year
IEEE based on Low Power
1 A Fully Digital Front-End Architecture for ECG Acquisition System with
0.5v Supply
2016
2 Low-Cost High Performance VLSI Architecture for Montgomery
Modular Multiplication
2016
3 RF Power Gating: A Low-Power Technique for Adaptive Radios 2016
4 A New Parallel VLSI Architecture for Real-Time Electrical Capacitance
Tomography
2016
5 Low-Power FPGA Design Using Memoization-Based Approximate
Computing
2016
6 Low-Power Split-Radix FFT Processors Using Radix-2 Butterfly Units 2016
7 A 3-D CPU-FPGA_DRAM Hybrid architecture for Low-Power
Computation
2016
8 Design of a Network of Digital Sensor Macros for Extracting Power
Supply Noise Profile in SoCs
2016
9 The Flexible ECC Management for Low-Cost Transient Error
Protection of Last-Level Caches
2016
High Speed Data Transmission
1 A High-Speed FPGA Implementation of an RSD-Based ECC Processor 2016
2 High-Speed and Energy-Efficient Carry Skip Adder Operating Under a
Wide Range of Supply Voltage Levels
2016
3 A 0.52/1 V Fast Lock-in ADPLL for Supporting Dynamic Voltage and
Frequency Scaling
2016
4 Code Compression for Embedded Systems Using Separated
Dictionaries
2016
5 A Dynamically Reconfigurable Multi-ASIP Architecture for Multi-
standard and Multimode Turbo Decoding
2016
6 Design and Implementation of High-Speed All-Pass Transformation-
Based Variable Digital Filters by Breaking the Dependence of
Operating Frequency on Filter Order
2016
7 Statistical Framework and Built-In Self Speed-Binning System for
Speed Binning Using On-Chip Ring Oscillators
2016
8 A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design
for In-Ear Headphones
2016
9 Source Coding and Preemphasis for Double-Edged Pulse width
Modulation Serial Communication
2016
10 A Fast-Acquisition All-Digital Delay-Locked Loop Using a Starting-Bit
Prediction Algorithm for the Successive-Approximation Register
2016
11 GPU-Accelerated Parallel Sparse LU Factorization Method for Fast
Circuit Analysis
2016
12 An All-Digital Approach to Supply Noise Cancellation in Digital Phase-
Locked Loop
2016
13 Design of Modified Second-Order Frequency Transformations Based
Variable Digital Filters With Large Cutoff Frequency Range and
Improved Transition Band Characteristics
2016
AREA EFFICIENT/ TIMING & DELAY REDUCTION
1 A Mixed-Decimation MDF Architecture for Radix-2K Parallel FFT 2016
2 Algorithm and Architecture of Configurable Joint Detection and
Decoding for MIMO Wireless Communications With Convolution
Codes
2016`
3 One-Cycle Correction of Timing Errors in Pipelines With Standard
Clocked Elements
2016
4 Hardware and Energy-Efficient Stochastic LU Decomposition Scheme
for MIMO Receivers
2016
5 Hybrid LUT/Multiplexer FPGA Logic Architectures 2016
6 Implementing Minimum-Energy-Point Systems With Adaptive Logic 2016
7 High-Performance Pipelined Architecture of Elliptic Curve Scalar
Multiplication Over GF(2m)
2016
8 LUT Optimization for Distributed Arithmetic-Based Block Least Mean
Square Adaptive Filter
2016
9 Graph-Based Transistor Network Generation Method for Supergate
Design
2016
10 Flexible DSP Accelerator Architecture Exploiting Carry-Save
Arithmetic
2016
11 A Cellular Network Architecture With Polynomial Weight Functions 2016
12 A High-Performance FIR Filter Architecture for Fixed and
Reconfigurable Applications
2016
13 Fault Tolerant Parallel FFTs Using Error Correction Codes and
Parseval Checks
2016
14 Unequal-Error-Protection Error Correction Codes for the Embedded
Memories in Digital Signal Processors
2016
15 A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data
Streams for MIMO
2016
16 Low-Power/Cost RNS Comparison via Partitioning the Dynamic
Range
2016
17 Understanding the Relation Between the Performance and
Reliability of NAND Flash/SCM Hybrid Solid-State Drive
2016
18 Optimized Built-In Self-Repair for Multiple Memories 2016
19 Measuring Improvement When Using HUB Formats to Implement
Floating-Point Systems Under Round-to-Nearest
2016
20 A High-Throughput Hardware Design of a One-Dimensional SPIHT
Algorithm
2016
21 Enhanced Wear-Rate Leveling for PRAM Lifetime Improvement
Considering Process Variation
2016
22 Speculative Look ahead for Energy-Efficient Microprocessors 2016
23 Efficient Synchronization for Distributed Embedded Multiprocessors 2016
24 NAND Flash Memory With Multiple Page Sizes for High-Performance
Storage Devices
2016
25 A Performance Degradation Tolerable Cache Design by Exploiting
Memory Hierarchies
2016
26 A New Optimal Algorithm for Energy Saving in Embedded System
With Multiple Sleep Modes
2016
27 A Fast Fault-Tolerant Architecture for Sauvola Local Image
Thresholding Algorithm Using Stochastic Computing
2016
28 Efficiency Enablers of Lightweight SDR for MIMO Baseband
Processing
2016
29 A Novel Quantum-Dot Cellular Automata X-bit ×32-bit SRAM 2016
30 Ultralow-Energy Variation-Aware Design: Adder Architecture Study 2016
31 Write Buffer-Oriented Energy Reduction in the L1 Data Cache for
Embedded Systems
2016
32 Toward Solving Multichannel RF-SoC Integration Issues Through
Digital Fractional Division
2016
33 Error Resilient and Energy Efficient MRF Message-Passing-Based
Stereo Matching
2016
34 Floating-Point Butterfly Architecture Based on Binary Signed-Digit
Representation
2016
35 On Efficient Retiming of Fixed-Point Circuits 2016
36 Trigger-Centric Loop Mapping on CGRAs 2016
37 Area-Aware Cache Update Trackers for Post silicon Validation 2016
38 PEVA: A Page Endurance Variance Aware Strategy for the Lifetime
Extension of NAND Flash
2016
39 Memory-Aware Loop Mapping on Coarse-Grained Reconfigurable
Architectures
2016
40 An Efficient Single and Double-Adjacent Error Correcting Parallel
Decoder for the (24,12) Extended Golay Code
2016
41 Concept, Design, and Implementation of Reconfigurable CORDIC 2016
AUDIO, IMAGE & VIDEO PROCESSING
1 Input-Based Dynamic Reconfiguration of Approximate Arithmetic
Units for Video Encoding
2016
2 A Configurable Parallel Hardware Architecture for Efficient Integral
Histogram Image Computing
2016
3 A New Binary-Halved Clustering Method and ERT Processor for ASSR
System
2016
4 The VLSI Architecture of a Highly Efficient De-blocking Filter for HEVC
Systems
2016
VERIFICATION
1 Source Code Error Detection in High-Level Synthesis Functional 2016
Verification
NETWORKING
1 In-Field Test for Permanent Faults in FIFO Buffers of NoC Routers 2016
2 FCUDA-NoC : A Scalable and Efficient Network-on-Chip
Implementation for the CUDA-to-FPGA Flow
2016
3 Process Variation Delay and Congestion Aware Routing Algorithm for
Asynchronous NoC Design
2016
4 Argo: A Real-Time Network-on-Chip Architecture With an Efficient
GALS Implementation
2016
5 Efficient Dynamic Virtual Channel Organization and Architecture for
NoC Systems
2016
6 A New CDMA Encoding/Decoding Method for on-Chip
Communication Network
2016
TANNER & MICROWIND/DSCH3
1 A Single-Ended With Dynamic Feedback Control 8T Subthreshold
SRAM Cell
2016
2 OTA-Based Logarithmic Circuit for Arbitrary Input Signal and Its
Application
2016
3 A Robust Energy/Area-Efficient Forwarded-Clock Receiver With All- 2016
Digital Clock and Data Recovery in 28-nm CMOS for High-Density
Interconnects
4 Full-Swing Local Bitline SRAM Architecture Based on the 22-nm
FinFET Technology for Low-Voltage Operation
2016
5 A 0.1–3.5-GHz Duty-Cycle Measurement and Correction Technique in
130-nm CMOS
2016
6 A Systematic Design Methodology of Asynchronous SAR ADCs 2016
7 Read Bit line Sensing and Fast Local Write-Back Techniques in
Hierarchical Bit line Architecture for Ultralow-Voltage SRAMs
2016
8 Online Measurement of Degradation Due to Bias Temperature
Instability in SRAMs
2016
9 Incorporating Process Variations Into SRAM Electromigration
Reliability Assessment Using Atomic Flux Divergence
2016
10 PROCEED: A Pareto Optimization-Based Circuit-Level Evaluator for
Emerging Devices
2016
11 A Fast-Transient Wide-Voltage-Range Digital-Controlled Buck
Converter With Cycle-Controlled DPWM
2016
12 Designing Tunable Subthreshold Logic Circuits Using Adaptive
Feedback Equalization
2016
13 Dual-Calibration Technique for Improving Static Linearity of 2016
Thermometer DACs for I/O
14 An Add-On Type Real-Time Jitter Tolerance Enhancer for Digital
Communication Receivers
2016
15 SRAM-Based Unique Chip Identifier Techniques 2016
16 A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational
and Sequential Circuits
2016
17 Low-Power Variation-Tolerant Nonvolatile Lookup Table Design 2016
18 Low-Energy Power-ON-Reset Circuit for Dual Supply SRAM 2016
19 Frequency-Boost Jitter Reduction for Voltage-Controlled Ring
Oscillators
2016
20 High-Speed, Low-Power, and Highly Reliable Frequency Multiplier for
DLL-Based Clock Generator
2016
21 EMDBAM: A Low-Power Dual Bit Associative Memory With Match
Error and Mask Control
2016
22 A Single-Stage Low-Dropout Regulator With a Wide Dynamic Range
for Generic Applications
2016
23 Power Efficient Level Shifter for 16 nm FinFET Near Threshold Circuits 2016

Más contenido relacionado

Destacado

Research into Similar Artists - DigiPak Analysis
Research into Similar Artists - DigiPak AnalysisResearch into Similar Artists - DigiPak Analysis
Research into Similar Artists - DigiPak Analysis
danieljacksonmedia
 
Presentation jenny lourdes t. cayanan
Presentation   jenny lourdes t. cayananPresentation   jenny lourdes t. cayanan
Presentation jenny lourdes t. cayanan
Jenny Cayanan
 
Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây điện thé...
Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây  điện thé...Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây  điện thé...
Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây điện thé...
nguyencvl
 

Destacado (12)

Research into Similar Artists - DigiPak Analysis
Research into Similar Artists - DigiPak AnalysisResearch into Similar Artists - DigiPak Analysis
Research into Similar Artists - DigiPak Analysis
 
Question 7
Question 7Question 7
Question 7
 
Felix&fido club2015new release
Felix&fido club2015new releaseFelix&fido club2015new release
Felix&fido club2015new release
 
annujj_resume (1) (1)
annujj_resume (1) (1)annujj_resume (1) (1)
annujj_resume (1) (1)
 
Android security a survey of issues, malware penetration, and defenses
Android security a survey of issues, malware penetration, and defensesAndroid security a survey of issues, malware penetration, and defenses
Android security a survey of issues, malware penetration, and defenses
 
Wave 6 - The Business of Social | UM | Social Media Tracker
Wave 6 - The Business of Social | UM | Social Media TrackerWave 6 - The Business of Social | UM | Social Media Tracker
Wave 6 - The Business of Social | UM | Social Media Tracker
 
успешный кандидат 2016
успешный кандидат 2016успешный кандидат 2016
успешный кандидат 2016
 
Efficient motif discovery for large scale time series in healthcare
Efficient motif discovery for large scale time series in healthcareEfficient motif discovery for large scale time series in healthcare
Efficient motif discovery for large scale time series in healthcare
 
Presentation jenny lourdes t. cayanan
Presentation   jenny lourdes t. cayananPresentation   jenny lourdes t. cayanan
Presentation jenny lourdes t. cayanan
 
Educacion a distancia
Educacion a distancia Educacion a distancia
Educacion a distancia
 
Media evaluation 1 (1) (1)
Media evaluation 1 (1) (1)Media evaluation 1 (1) (1)
Media evaluation 1 (1) (1)
 
Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây điện thé...
Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây  điện thé...Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây  điện thé...
Sản xuất thiết bị điện công nghiệp vattuthietbidien.vn ống luồn dây điện thé...
 

Más de LeMeniz Infotech

Interleaved digital power factor correction based on the sliding mode approach
Interleaved digital power factor correction based on the sliding mode approachInterleaved digital power factor correction based on the sliding mode approach
Interleaved digital power factor correction based on the sliding mode approach
LeMeniz Infotech
 
Bumpless control for reduced thd in power factor correction circuits
Bumpless control for reduced thd in power factor correction circuitsBumpless control for reduced thd in power factor correction circuits
Bumpless control for reduced thd in power factor correction circuits
LeMeniz Infotech
 
A bidirectional three level llc resonant converter with pwam control
A bidirectional three level llc resonant converter with pwam controlA bidirectional three level llc resonant converter with pwam control
A bidirectional three level llc resonant converter with pwam control
LeMeniz Infotech
 
Efficient single phase transformerless inverter for grid tied pvg system with...
Efficient single phase transformerless inverter for grid tied pvg system with...Efficient single phase transformerless inverter for grid tied pvg system with...
Efficient single phase transformerless inverter for grid tied pvg system with...
LeMeniz Infotech
 
Highly reliable transformerless photovoltaic inverters with leakage current a...
Highly reliable transformerless photovoltaic inverters with leakage current a...Highly reliable transformerless photovoltaic inverters with leakage current a...
Highly reliable transformerless photovoltaic inverters with leakage current a...
LeMeniz Infotech
 
Grid current-feedback active damping for lcl resonance in grid-connected volt...
Grid current-feedback active damping for lcl resonance in grid-connected volt...Grid current-feedback active damping for lcl resonance in grid-connected volt...
Grid current-feedback active damping for lcl resonance in grid-connected volt...
LeMeniz Infotech
 
Delay dependent stability of single-loop controlled grid-connected inverters ...
Delay dependent stability of single-loop controlled grid-connected inverters ...Delay dependent stability of single-loop controlled grid-connected inverters ...
Delay dependent stability of single-loop controlled grid-connected inverters ...
LeMeniz Infotech
 

Más de LeMeniz Infotech (20)

A fast acquisition all-digital delay-locked loop using a starting-bit predict...
A fast acquisition all-digital delay-locked loop using a starting-bit predict...A fast acquisition all-digital delay-locked loop using a starting-bit predict...
A fast acquisition all-digital delay-locked loop using a starting-bit predict...
 
A fast fault tolerant architecture for sauvola local image thresholding algor...
A fast fault tolerant architecture for sauvola local image thresholding algor...A fast fault tolerant architecture for sauvola local image thresholding algor...
A fast fault tolerant architecture for sauvola local image thresholding algor...
 
A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...
 
Interleaved digital power factor correction based on the sliding mode approach
Interleaved digital power factor correction based on the sliding mode approachInterleaved digital power factor correction based on the sliding mode approach
Interleaved digital power factor correction based on the sliding mode approach
 
Bumpless control for reduced thd in power factor correction circuits
Bumpless control for reduced thd in power factor correction circuitsBumpless control for reduced thd in power factor correction circuits
Bumpless control for reduced thd in power factor correction circuits
 
A bidirectional single stage three phase rectifier with high-frequency isolat...
A bidirectional single stage three phase rectifier with high-frequency isolat...A bidirectional single stage three phase rectifier with high-frequency isolat...
A bidirectional single stage three phase rectifier with high-frequency isolat...
 
A bidirectional three level llc resonant converter with pwam control
A bidirectional three level llc resonant converter with pwam controlA bidirectional three level llc resonant converter with pwam control
A bidirectional three level llc resonant converter with pwam control
 
Efficient single phase transformerless inverter for grid tied pvg system with...
Efficient single phase transformerless inverter for grid tied pvg system with...Efficient single phase transformerless inverter for grid tied pvg system with...
Efficient single phase transformerless inverter for grid tied pvg system with...
 
Highly reliable transformerless photovoltaic inverters with leakage current a...
Highly reliable transformerless photovoltaic inverters with leakage current a...Highly reliable transformerless photovoltaic inverters with leakage current a...
Highly reliable transformerless photovoltaic inverters with leakage current a...
 
Grid current-feedback active damping for lcl resonance in grid-connected volt...
Grid current-feedback active damping for lcl resonance in grid-connected volt...Grid current-feedback active damping for lcl resonance in grid-connected volt...
Grid current-feedback active damping for lcl resonance in grid-connected volt...
 
Delay dependent stability of single-loop controlled grid-connected inverters ...
Delay dependent stability of single-loop controlled grid-connected inverters ...Delay dependent stability of single-loop controlled grid-connected inverters ...
Delay dependent stability of single-loop controlled grid-connected inverters ...
 
Connection of converters to a low and medium power dc network using an induct...
Connection of converters to a low and medium power dc network using an induct...Connection of converters to a low and medium power dc network using an induct...
Connection of converters to a low and medium power dc network using an induct...
 
Stamp enabling privacy preserving location proofs for mobile users
Stamp enabling privacy preserving location proofs for mobile usersStamp enabling privacy preserving location proofs for mobile users
Stamp enabling privacy preserving location proofs for mobile users
 
Sbvlc secure barcode based visible light communication for smartphones
Sbvlc secure barcode based visible light communication for smartphonesSbvlc secure barcode based visible light communication for smartphones
Sbvlc secure barcode based visible light communication for smartphones
 
Read2 me a cloud based reading aid for the visually impaired
Read2 me a cloud based reading aid for the visually impairedRead2 me a cloud based reading aid for the visually impaired
Read2 me a cloud based reading aid for the visually impaired
 
Privacy preserving location sharing services for social networks
Privacy preserving location sharing services for social networksPrivacy preserving location sharing services for social networks
Privacy preserving location sharing services for social networks
 
Pass byo bring your own picture for securing graphical passwords
Pass byo bring your own picture for securing graphical passwordsPass byo bring your own picture for securing graphical passwords
Pass byo bring your own picture for securing graphical passwords
 
Eplq efficient privacy preserving location-based query over outsourced encryp...
Eplq efficient privacy preserving location-based query over outsourced encryp...Eplq efficient privacy preserving location-based query over outsourced encryp...
Eplq efficient privacy preserving location-based query over outsourced encryp...
 
Analyzing ad library updates in android apps
Analyzing ad library updates in android appsAnalyzing ad library updates in android apps
Analyzing ad library updates in android apps
 
An exploration of geographic authentication scheme
An exploration of geographic authentication schemeAn exploration of geographic authentication scheme
An exploration of geographic authentication scheme
 

Último

BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
SoniaTolstoy
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
PECB
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
fonyou31
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
QucHHunhnh
 

Último (20)

General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy Reform
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SD
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
Disha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfDisha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdf
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
 

VLSI IEEE Projects 2016-2017 | VLSI IEEE Projects Titles 2016-2017

  • 1. VLSI IEEE Projects Titles – 2016-2017 LeMeniz Infotech 36, 100 feet Road, Natesan Nagar( Near Indira Gandhi Statue and Next to Fish-O-Fish), Pondicherry-605 005 Web : www.ieeemaster.com / www.lemenizinfotech.com Mail : info@lemenizinfotech.com / projects@lemenizinfotech.com Phone : 9566355386 / 9962588976 S.No Title Year IEEE based on Low Power 1 A Fully Digital Front-End Architecture for ECG Acquisition System with 0.5v Supply 2016 2 Low-Cost High Performance VLSI Architecture for Montgomery Modular Multiplication 2016 3 RF Power Gating: A Low-Power Technique for Adaptive Radios 2016 4 A New Parallel VLSI Architecture for Real-Time Electrical Capacitance Tomography 2016 5 Low-Power FPGA Design Using Memoization-Based Approximate Computing 2016 6 Low-Power Split-Radix FFT Processors Using Radix-2 Butterfly Units 2016
  • 2. 7 A 3-D CPU-FPGA_DRAM Hybrid architecture for Low-Power Computation 2016 8 Design of a Network of Digital Sensor Macros for Extracting Power Supply Noise Profile in SoCs 2016 9 The Flexible ECC Management for Low-Cost Transient Error Protection of Last-Level Caches 2016 High Speed Data Transmission 1 A High-Speed FPGA Implementation of an RSD-Based ECC Processor 2016 2 High-Speed and Energy-Efficient Carry Skip Adder Operating Under a Wide Range of Supply Voltage Levels 2016 3 A 0.52/1 V Fast Lock-in ADPLL for Supporting Dynamic Voltage and Frequency Scaling 2016 4 Code Compression for Embedded Systems Using Separated Dictionaries 2016 5 A Dynamically Reconfigurable Multi-ASIP Architecture for Multi- standard and Multimode Turbo Decoding 2016 6 Design and Implementation of High-Speed All-Pass Transformation- Based Variable Digital Filters by Breaking the Dependence of Operating Frequency on Filter Order 2016 7 Statistical Framework and Built-In Self Speed-Binning System for Speed Binning Using On-Chip Ring Oscillators 2016
  • 3. 8 A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones 2016 9 Source Coding and Preemphasis for Double-Edged Pulse width Modulation Serial Communication 2016 10 A Fast-Acquisition All-Digital Delay-Locked Loop Using a Starting-Bit Prediction Algorithm for the Successive-Approximation Register 2016 11 GPU-Accelerated Parallel Sparse LU Factorization Method for Fast Circuit Analysis 2016 12 An All-Digital Approach to Supply Noise Cancellation in Digital Phase- Locked Loop 2016 13 Design of Modified Second-Order Frequency Transformations Based Variable Digital Filters With Large Cutoff Frequency Range and Improved Transition Band Characteristics 2016 AREA EFFICIENT/ TIMING & DELAY REDUCTION 1 A Mixed-Decimation MDF Architecture for Radix-2K Parallel FFT 2016 2 Algorithm and Architecture of Configurable Joint Detection and Decoding for MIMO Wireless Communications With Convolution Codes 2016` 3 One-Cycle Correction of Timing Errors in Pipelines With Standard Clocked Elements 2016
  • 4. 4 Hardware and Energy-Efficient Stochastic LU Decomposition Scheme for MIMO Receivers 2016 5 Hybrid LUT/Multiplexer FPGA Logic Architectures 2016 6 Implementing Minimum-Energy-Point Systems With Adaptive Logic 2016 7 High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2m) 2016 8 LUT Optimization for Distributed Arithmetic-Based Block Least Mean Square Adaptive Filter 2016 9 Graph-Based Transistor Network Generation Method for Supergate Design 2016 10 Flexible DSP Accelerator Architecture Exploiting Carry-Save Arithmetic 2016 11 A Cellular Network Architecture With Polynomial Weight Functions 2016 12 A High-Performance FIR Filter Architecture for Fixed and Reconfigurable Applications 2016 13 Fault Tolerant Parallel FFTs Using Error Correction Codes and Parseval Checks 2016 14 Unequal-Error-Protection Error Correction Codes for the Embedded Memories in Digital Signal Processors 2016
  • 5. 15 A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO 2016 16 Low-Power/Cost RNS Comparison via Partitioning the Dynamic Range 2016 17 Understanding the Relation Between the Performance and Reliability of NAND Flash/SCM Hybrid Solid-State Drive 2016 18 Optimized Built-In Self-Repair for Multiple Memories 2016 19 Measuring Improvement When Using HUB Formats to Implement Floating-Point Systems Under Round-to-Nearest 2016 20 A High-Throughput Hardware Design of a One-Dimensional SPIHT Algorithm 2016 21 Enhanced Wear-Rate Leveling for PRAM Lifetime Improvement Considering Process Variation 2016 22 Speculative Look ahead for Energy-Efficient Microprocessors 2016 23 Efficient Synchronization for Distributed Embedded Multiprocessors 2016 24 NAND Flash Memory With Multiple Page Sizes for High-Performance Storage Devices 2016 25 A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies 2016
  • 6. 26 A New Optimal Algorithm for Energy Saving in Embedded System With Multiple Sleep Modes 2016 27 A Fast Fault-Tolerant Architecture for Sauvola Local Image Thresholding Algorithm Using Stochastic Computing 2016 28 Efficiency Enablers of Lightweight SDR for MIMO Baseband Processing 2016 29 A Novel Quantum-Dot Cellular Automata X-bit ×32-bit SRAM 2016 30 Ultralow-Energy Variation-Aware Design: Adder Architecture Study 2016 31 Write Buffer-Oriented Energy Reduction in the L1 Data Cache for Embedded Systems 2016 32 Toward Solving Multichannel RF-SoC Integration Issues Through Digital Fractional Division 2016 33 Error Resilient and Energy Efficient MRF Message-Passing-Based Stereo Matching 2016 34 Floating-Point Butterfly Architecture Based on Binary Signed-Digit Representation 2016 35 On Efficient Retiming of Fixed-Point Circuits 2016 36 Trigger-Centric Loop Mapping on CGRAs 2016 37 Area-Aware Cache Update Trackers for Post silicon Validation 2016
  • 7. 38 PEVA: A Page Endurance Variance Aware Strategy for the Lifetime Extension of NAND Flash 2016 39 Memory-Aware Loop Mapping on Coarse-Grained Reconfigurable Architectures 2016 40 An Efficient Single and Double-Adjacent Error Correcting Parallel Decoder for the (24,12) Extended Golay Code 2016 41 Concept, Design, and Implementation of Reconfigurable CORDIC 2016 AUDIO, IMAGE & VIDEO PROCESSING 1 Input-Based Dynamic Reconfiguration of Approximate Arithmetic Units for Video Encoding 2016 2 A Configurable Parallel Hardware Architecture for Efficient Integral Histogram Image Computing 2016 3 A New Binary-Halved Clustering Method and ERT Processor for ASSR System 2016 4 The VLSI Architecture of a Highly Efficient De-blocking Filter for HEVC Systems 2016 VERIFICATION 1 Source Code Error Detection in High-Level Synthesis Functional 2016
  • 8. Verification NETWORKING 1 In-Field Test for Permanent Faults in FIFO Buffers of NoC Routers 2016 2 FCUDA-NoC : A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow 2016 3 Process Variation Delay and Congestion Aware Routing Algorithm for Asynchronous NoC Design 2016 4 Argo: A Real-Time Network-on-Chip Architecture With an Efficient GALS Implementation 2016 5 Efficient Dynamic Virtual Channel Organization and Architecture for NoC Systems 2016 6 A New CDMA Encoding/Decoding Method for on-Chip Communication Network 2016 TANNER & MICROWIND/DSCH3 1 A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell 2016 2 OTA-Based Logarithmic Circuit for Arbitrary Input Signal and Its Application 2016 3 A Robust Energy/Area-Efficient Forwarded-Clock Receiver With All- 2016
  • 9. Digital Clock and Data Recovery in 28-nm CMOS for High-Density Interconnects 4 Full-Swing Local Bitline SRAM Architecture Based on the 22-nm FinFET Technology for Low-Voltage Operation 2016 5 A 0.1–3.5-GHz Duty-Cycle Measurement and Correction Technique in 130-nm CMOS 2016 6 A Systematic Design Methodology of Asynchronous SAR ADCs 2016 7 Read Bit line Sensing and Fast Local Write-Back Techniques in Hierarchical Bit line Architecture for Ultralow-Voltage SRAMs 2016 8 Online Measurement of Degradation Due to Bias Temperature Instability in SRAMs 2016 9 Incorporating Process Variations Into SRAM Electromigration Reliability Assessment Using Atomic Flux Divergence 2016 10 PROCEED: A Pareto Optimization-Based Circuit-Level Evaluator for Emerging Devices 2016 11 A Fast-Transient Wide-Voltage-Range Digital-Controlled Buck Converter With Cycle-Controlled DPWM 2016 12 Designing Tunable Subthreshold Logic Circuits Using Adaptive Feedback Equalization 2016 13 Dual-Calibration Technique for Improving Static Linearity of 2016
  • 10. Thermometer DACs for I/O 14 An Add-On Type Real-Time Jitter Tolerance Enhancer for Digital Communication Receivers 2016 15 SRAM-Based Unique Chip Identifier Techniques 2016 16 A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits 2016 17 Low-Power Variation-Tolerant Nonvolatile Lookup Table Design 2016 18 Low-Energy Power-ON-Reset Circuit for Dual Supply SRAM 2016 19 Frequency-Boost Jitter Reduction for Voltage-Controlled Ring Oscillators 2016 20 High-Speed, Low-Power, and Highly Reliable Frequency Multiplier for DLL-Based Clock Generator 2016 21 EMDBAM: A Low-Power Dual Bit Associative Memory With Match Error and Mask Control 2016 22 A Single-Stage Low-Dropout Regulator With a Wide Dynamic Range for Generic Applications 2016 23 Power Efficient Level Shifter for 16 nm FinFET Near Threshold Circuits 2016