SlideShare una empresa de Scribd logo
1 de 22
Descargar para leer sin conexión
Mixed-Signal Verification Challenges
CDN Live 2010 - Munich

Regis SANTONJA
                                                                                                                                                         TM

Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Presentation

►      I am Regis Santonja
        •     Mixed-signal Verification Leader since 2003
        •     Previously Digital Design Engineer in mixed-signal Power-Managerment
              ICs @ Freescale/Motorola since 1998
        •     Previously Digital Design Lead @ CSTI
        •     Previously Digital Design Engineer @ LSI LOGIC
        •     I started as an Applications Engineer @ LSI LOGIC in 1994
        •     16 years of experience in the semiconductor industry

►      Working at Freescale, in the Consumer Sensor division
        •     Accelerometers
        •     Pressure
        •     Etc…




                                                                                                                                                                    TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Agenda

►The  IC
►The Test bench
►A progressive Verification Approach: from wreal to full transistor
►Merging simulation environments with eManager
►Introducing random into mixed-signal simulations
►Simulation Coverage for analog and digital
►Mixed-level Verification challenge:
        •     bringing as much of digital advanced verification techniques to the
              mixed-signal world
        •     some thoughts about closed-loop coverage-driven mixed-signal
              simulations
►Conclusion




                                                                                                                                                              TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
The chip

►Low-power three axis accelerometer                                                                                                        for consumer applications
  • Mobile phones
  • Gaming
  • High end pedometer
►Programmable G scales
  • +/- 2g, +/- 4g, +/- 8g
►Multiple Output Data Rate (ODR)
  • From 1.5Hz (low current) to 800Hz
►FIFO buffer
►Automatic embedded detection:
        •     Orientation
        •     Motion
        •     Free-Fall
        •     Single and Double Taps
        •     Flick, shake, Tilt control, complex gesturing



                                                                                                                                                                       TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
The testbench
   ► Hierarchical testbench
       ►Verilog-AMS top-level
       ►Systemverilog sub-block


   ► Stimulus File
       ►Each specific test is coded in a stimulus file included in the testbench via a
       compiler’s directive.
       ►Test sequence driven by initial digital process
       ►Analog (including supplies) controlled by digital via real values
                                                                                                                                          Bandgap
   ► External                          components                                                   AMS                                                  LDO

                                                                                                    stimulation                                                                     Memory
         can be:                                                                                                                                                    oscillator
                                                                                                                                                                                                       Systemverilog
                                                                                                                                                                                                       Monitoring
                ►in Verilog-A/MS
                (parameters controlled from                                                                                                                                              DSP           Code coverage
                                                                                                      Analog                                                          ADC
                the stimulus)                                                                         Generators                                                                                       Functional coverage


                ►Spectre or other provider’s                                                                                                                                                           Assertions
                                                                                                                                                     Custom Logic                     I2C
                format
   ► Monitoring
      ►Verilog-AMS                                                                                                                           Supplies and grounds                Digital Transactors

      ►Systemverilog                                                                                TESTBENCH


                                                                                                                                                                                                                             TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
A progressive Verification approach




                                                                                                            Mixed                                            Full-transistor
                                 Digital only
                                                                                                            Signal                                            simulations



          •      Wreal models                                                     A mix between:                                                     •   All analog at transistor-level
                 of the analog                                                    • Wreal                                                            •   Digital
          •      Behavioral                                                       • AMS models                                                               • RTL
                 digital or RTL                                                   • Transistors                                                              • Gate-level
                                                                                  • RTL                                                                      • Transistor-level




                                                                                                                                                                                          TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Progressive Verification Approach
                   1.           Digital only
                            •          Analog blocks modeled in Real Value (wreal)
                            •          Digital speed
                            •          System Design and architectural exploration
                            •          Long transient time issues can be caught
                            •          Drawbacks:
                                                No access to operators such as derivative, integration, Laplace, last_crossing
Development time




                                                Signal-flow model only
                                                No easy way to model basic components such as resistors or capacitors
                   2.           Mixed-signal
                            •          As the design progresses and more accuracy is required
                            •          Starting swapping wreal models to AMS or transistor-level
                                                low-freq, close to DC blocks (biasing,…)
                            •          Difficulty with currents between wreal and analog domains
                                                Need some specific connect modules to represent current sources
                                                Cadence R2E_current and symmetrical E2R_current do not respect current sign
                                                convention, as they pass the current values with no sign change
                   3.           Full-transistor
                            •          Track cross-conductions (leakages) between supplies
                            •          Instabilities, oscillations
                            •          Floating nodes



                                                                                                                                                               TM
      Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
      of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Traditional simulation environments

►Analog                            designers
        •     Typically run spice/spectre simulations within Cadence Analog Artist
        •     Low usage of automatic checks
        •     Low usage of regression
        •     No coverage monitoring

►Digital                        designers
        •     Typically run simulations from the command line
        •     Self-checking
        •     Regression testing
        •     Code coverage

►Mixed-signal                                            engineers
        •     Typically somewhere in between analog and digital habits


                                                                                                                                                         TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
A single simulation environment – 1 -

► Cadence eManager can be used as a single front-end
   • Need ability to launch all sorts of simulations from the command-line
   • Self-checking analog tests make them appear in the global Test Coverage metrics
   • All commands gathered in a VSIF (Verification Session Input File) file to eManager


► Digital simulations launched from make command                                                                                                         with a Makefile:
      ncvlog –file rtl.caf 
              –define stim_file=”$(TEST).v” 
              $(COMP_ARGS)
      ncelab –file ncelab.caf 
              –coverage a 
      ncsim –status 
             –file ncsim.caf 
             -logfile $(TEST).log
             -covoverwrite 
             -covdesign digital 
             -covtest $(TEST) 
             $(SIM_ARGS)



                                                                                                                                                                            TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
A single simulation environment – 2 -
► Mixed-signal,                                   mixed-level simulations launched from a custom script
        •     Pre-compiles systemverilog and custom connect modules
        •     Builds the appropriate amsdesigner command:
                  start_test.pl –lib top_verification 
                                -cell top_testbench 
                                -view $ATTR(my_config) 
                                -test $RUN_ENV(BRUN_TOP_FILES) 
                                -run_dir `pwd` 
                                -cds_globals generic.cds_globals 
                                -define SEED=`perl –e ‘print int(rand(1e6))’` 
                                -profile
        •     The amsdesigner command is able to read Cadence DFII configuration views
                         Tells which cell view (wreal, RTL, verilog-A/MS, schematic) is to be considered for each cell in
                         each specific simulation

► Analog                   simulations launched from Freescale internal tool
        •     Complex simulation scripts built from visual components in an intuitive GUI
        •     Advanced set of automatic measures
        •     Automatic comparison versus spec
        •     Advanced reporting
        •     Command-line ability




                                                                                                                                                         TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Introducing random into mixed-signal simulations

►A           seed is generated at simulation launch
        •     It is recorded in the simulation log file so that we can reproduce a simulation
              which would show an IC issue
        •     It is used to drive, for example, ramp-up/down times of the IC’s external power
              supplies in order to capture potential cross-conduction leakages between
              supplies

                  initial begin
                    VDD_level =0.0;
                    `ifdef SEED
                      VDD_rise = 100u + 1u*($random(seed) % 100);
                      VDD_fall = 100u + 1u*($random(seed) % 100);
                    `endif // SEED
                    @(event)
                    VDD_level = 2.5;
                  end

                  analog begin
                    V(VDD_stim)      <+ transition(VDD_level, 0 VDD_rise, VDD_fall);
                    I(VDD, VDD_stim) <+ V I(VDD, VDD_stim)/RVDD;
                  end



                                                                                                                                                         TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Controlling the analog inputs from the digital

► All analog inputs connected via digital-controlled resistors
► High-valued resistors emulates disconnection
► Resistor value, voltage level, rise and fall times controlled from the
  digital test sequence (via initial process):
► This mechanism allows dynamic connection of more complex
  external circuitry (i.e. RC filters)


                                                                                                                                                         External
                                                                                                                                                           circuitry
                              +
                                                                                          IC Under Test
                               -




                                                                                                                                                                       TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Simulation Coverage

►Test Coverage just tells which simulation passes or fails but does
 not (directly) tell what is verified
►There are two other types of coverage metrics:
        •     Code Coverage for digital section
        •     Functional Coverage for both digital and mixed-signal




                                                                                                                                                                           TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Code Coverage

►Code                          Coverage
        •     Automatic
        •     Checks which part of the digital code got executed:
                         block, expression, toggle, FSM states and transitions
        •     Used ICC (Incisive Comprehensive Coverage Report) to
              identify holes
        •     We could increase our coverage
                         Some functions were never disabled
                         Lots of FSM arcs to the Idle state were missing
                         Lesson learnt: not only is important what the IC should do, but also
                         what it should not do (when the function is disabled or interrupted).




                                                                                                                                                                     TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Functional Coverage

►NOT  automatic, in opposition to
 Code Coverage
►Needs to be specified/coded by
 the user
        •     More effort up-front
►It does not depend on the
 implementation, but on the
 functionality
►It is closely linked with the
 specification and the verification
 plan
►Systemverilog provides
 constructs to specify and
 monitor the Functional
 Coverage


                                                                                                                                                                           TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Control-oriented Functional Coverage

►The IC measures its acceleration on the 3 dimensional                                                                                                   axes
►They are multiplexed in time in order to save hardware
►The control of the signal chain:
        •     is a complex time sequence which directly impacts the final measure
              accuracy
        •     Needs to be carefully verified
                         Systemverilog concurrent assertion constructs

                  prop_vreg_en : assert property
                    (@(posedge clk) $rose(hf_en) |=> ( vreg_en[*72] ##1
                                                      ~vreg_en[*240] ##1
                                                       vreg_en[*172] ##1
                                                      ~vreg_en[*140])[1:$])
                        PRINT_PASS(“VREG enable is OK”);
                    else begin
                        $warning(“%m fails”);
                        PRINT_ERROR(“”);
                    end




                                                                                                                                                                TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Data-oriented Functional Coverage

►Data-oriented Functional coverage tracks the number of times a
 design variable reaches a specified set of values
►The design variables to track are called coverpoints
►They are gathered into covergroups
        •     All coverpoints in a covergroup are evaluated on the same clock
        •     A clock can be:
                         any expression that becomes true
                         The start or end of execution of a named block, a task, a function,…




                                                                                                                                                         TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Data Oriented Functional Coverage – example 1

► We tracked the coverage of our I2c                                                                                      register map
► The actual systemverilog code was                                                                                       automatically generated from an Excel
  sheet containing the I2c map.
► Here is systemverilog principle:
                  `define F_CNT 1
                  `define F_MODE 2
                  `define F_OVF 3

                  event i2c_write_event;
                  typedef enum { F_CNT = `F_CNT,
                                 F_MODE = `F_MODE,
                                 F_OVF = `F_OVF } i2c_field_type;
                  i2c_field_type i2c_write_field;

                  covergroup cg_i2c_write @i2c_write_event;
                    cov_i2c_write: coverpoint i2c_write_field {option.auto_bin_max = 512;}
                  endgroup: cg_i2c_write

                  always @(`STIM.f_cnt) //`STIM refers to AMS testbench top-level
                  if ($time >0) begin
                    @(`DIGITAL_BLOCK.i2c.i2c_write);
                    i2c_write_field = `F_CNT;
                    -> i2c_write_event;
                  end




                                                                                                                                                                  TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Data Oriented Functional Coverage – example 2
► We            could also track analog events such as:
        •     The internal supply voltage levels
        •     The internal voltage references
        •     Here is the principle of the systemverilog part:
                  `define VREFON 1
                  `define VREFOFF 2

                  typedef enum { VREFON = `VREFON,
                                 VREFOFF = `VREFOFF } vref_state_type;
                  event vref_event;
                  vref_state_type vref = `VREFOFF;
                  covergroup cg_vref @vref_event;
                    cov_vref: coverpoint vref;
                  endgroup: cg_vref
                  cg_vref I_cg_vref = new();

        •     Here is the principle of the verilog-AMS code that triggers the systemverilog:
                  always @(cross(V(`IC.VREF_adc)-0.9, 0 , 1n, 10u))
                  begin
                    if (V(`IC.VREF_adc) > 0.9)
                      covmon.vref = `VREFON;
                    else
                      covmon.vref = `VREFOFF;
                    -> covmon.vref_event;
                  end




                                                                                                                                                         TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Mixed-Signal Verification Challenge
► Wreal  modeling is a means to
  handle analog-like signals at digital
  speed
► Wreal modeling can be mixed with                                                                                                                       The door of mixed-signal
  analog models and/or transistors                                                                                                                       simulations are now open to
► Systemverilog can be used with                                                                                                                         explore some advanced
  verilog-AMS to track mixed-signal                                                                                                                      digital verification tools and
  Functional coverage                                                                                                                                    methodologies
► Systemverilog enables dynamic
  coverage monitoring with dedicated
  tasks, such as $get_coverage()

► Closed-loop,                                  coverage-driven mixed-signal simmulations
        •     The quality of the verification is only as good as the quality of the tests
        •     Reaching 90% of coverage with directed tests is a big effort
        •     Time-to-market is continuously decreasing
                         Automatic mixed-signal test generation, based on dynamic coverage monitoring is
                         possible



                                                                                                                                                                                          TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Conclusion

►We presented how we can verify a mixed-signal IC:
 • With a progressive approach, starting with pure wreal digital simulations, then
   mixing digital and analog, and finally run full-transistor simulations to track
   leakages and floating nodes.
 • With eManager as a single front-end to launch our complete set of tests (analog,
   digital and mixed-signal)
 • By dynamically controlling the analog section and the external circuitry from the
   digital side
 • By introducing random into the analog domain
 • By taking advantage of ICC to analyze the digital code coverage
 • By using Systemverilog constructs to monitor control-oriented and data-oriented
   Functional Coverage, including analog events monitoring

► Finally we presented some thoughts about developing a closed-loop,
    mixed-signal coverage-driven flow, as a complement to today’s directed
    mixed-signal tests


                                                                                                                                                                  TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
Special thanks



►I’d           like to thank

        •     Jean-Claude Mboli from Freescale, who initiated the adoption of the
              wreal modeling in our Sensor Division at Freescale
        •     Thierry Nouguier, our AMS-Designer CAD expert at Freescale
        •     Patrick Oury from Cadence, for his dedication to supporting our team on
              systemverilog and eManager




                                                                                                                                                                      TM
Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks
of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.

Más contenido relacionado

La actualidad más candente

Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
DVClub
 
Uvm presentation dac2011_final
Uvm presentation dac2011_finalUvm presentation dac2011_final
Uvm presentation dac2011_final
sean chen
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
DVClub
 
Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Raj Mitra
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
DVClub
 

La actualidad más candente (20)

Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification Methodologies
 
Uvm dac2011 final_color
Uvm dac2011 final_colorUvm dac2011 final_color
Uvm dac2011 final_color
 
Re usable continuous-time analog sva assertions - slides
Re usable continuous-time analog sva assertions - slidesRe usable continuous-time analog sva assertions - slides
Re usable continuous-time analog sva assertions - slides
 
Uvm presentation dac2011_final
Uvm presentation dac2011_finalUvm presentation dac2011_final
Uvm presentation dac2011_final
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
 
Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...
 
Random stability in systemVerilog and UVM based testbench
Random stability in systemVerilog and UVM based testbenchRandom stability in systemVerilog and UVM based testbench
Random stability in systemVerilog and UVM based testbench
 
verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
system verilog
system verilogsystem verilog
system verilog
 
Verilog & Vivado Quickstart
Verilog & Vivado QuickstartVerilog & Vivado Quickstart
Verilog & Vivado Quickstart
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic Sequences
 
SystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features SummarySystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features Summary
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
 
Doulos coverage-tips-tricks
Doulos coverage-tips-tricksDoulos coverage-tips-tricks
Doulos coverage-tips-tricks
 
How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
5 verification methods
5 verification methods5 verification methods
5 verification methods
 

Similar a Mixed signal verification challenges - slides

Advanced Motion Controls drive ware and digiflex product overview march 2009
Advanced Motion Controls  drive ware and digiflex product overview march 2009Advanced Motion Controls  drive ware and digiflex product overview march 2009
Advanced Motion Controls drive ware and digiflex product overview march 2009
Electromate
 
Benefits of Using FPGAs for Embedded Processing: Embedded World 2010
Benefits of Using FPGAs for Embedded Processing: Embedded World 2010Benefits of Using FPGAs for Embedded Processing: Embedded World 2010
Benefits of Using FPGAs for Embedded Processing: Embedded World 2010
Altera Corporation
 
AlgorithmStatus2005FEB
AlgorithmStatus2005FEBAlgorithmStatus2005FEB
AlgorithmStatus2005FEB
Bo Lin
 
OMAP Verification
OMAP VerificationOMAP Verification
OMAP Verification
DVClub
 
Roy omap validation_dvc_lub_092106
Roy omap validation_dvc_lub_092106Roy omap validation_dvc_lub_092106
Roy omap validation_dvc_lub_092106
Obsidian Software
 
15.00 hr van Hilten
15.00 hr van Hilten15.00 hr van Hilten
15.00 hr van Hilten
Themadagen
 

Similar a Mixed signal verification challenges - slides (20)

Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion
 
Mscope brochure EN
Mscope brochure ENMscope brochure EN
Mscope brochure EN
 
ICE Presentation: Integrated Component Characterization Environment
ICE Presentation: Integrated Component Characterization EnvironmentICE Presentation: Integrated Component Characterization Environment
ICE Presentation: Integrated Component Characterization Environment
 
Advanced Motion Controls drive ware and digiflex product overview march 2009
Advanced Motion Controls  drive ware and digiflex product overview march 2009Advanced Motion Controls  drive ware and digiflex product overview march 2009
Advanced Motion Controls drive ware and digiflex product overview march 2009
 
SYM iSpectrum Business Cases
SYM iSpectrum Business CasesSYM iSpectrum Business Cases
SYM iSpectrum Business Cases
 
Benefits of Using FPGAs for Embedded Processing: Embedded World 2010
Benefits of Using FPGAs for Embedded Processing: Embedded World 2010Benefits of Using FPGAs for Embedded Processing: Embedded World 2010
Benefits of Using FPGAs for Embedded Processing: Embedded World 2010
 
AlgorithmStatus2005FEB
AlgorithmStatus2005FEBAlgorithmStatus2005FEB
AlgorithmStatus2005FEB
 
s2000nano
s2000nanos2000nano
s2000nano
 
OMAP Verification
OMAP VerificationOMAP Verification
OMAP Verification
 
Roy omap validation_dvc_lub_092106
Roy omap validation_dvc_lub_092106Roy omap validation_dvc_lub_092106
Roy omap validation_dvc_lub_092106
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scope
 
15.00 hr van Hilten
15.00 hr van Hilten15.00 hr van Hilten
15.00 hr van Hilten
 
WIRELESS SURVILLANCE ROBOT
WIRELESS SURVILLANCE ROBOT WIRELESS SURVILLANCE ROBOT
WIRELESS SURVILLANCE ROBOT
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scope
 
Graal and Truffle: One VM to Rule Them All
Graal and Truffle: One VM to Rule Them AllGraal and Truffle: One VM to Rule Them All
Graal and Truffle: One VM to Rule Them All
 
Julia - THE FIRST BRAIN COMPUTER FIELDBUS INTERFACE ON THE MARKET
Julia - THE FIRST BRAIN COMPUTER FIELDBUS INTERFACE ON THE MARKETJulia - THE FIRST BRAIN COMPUTER FIELDBUS INTERFACE ON THE MARKET
Julia - THE FIRST BRAIN COMPUTER FIELDBUS INTERFACE ON THE MARKET
 
PA Develops an LTE PHY for Catapult
PA Develops an LTE PHY for CatapultPA Develops an LTE PHY for Catapult
PA Develops an LTE PHY for Catapult
 
Webinar: Plataforma Renesas Synergy – Construindo sua aplicação MQTT com anal...
Webinar: Plataforma Renesas Synergy – Construindo sua aplicação MQTT com anal...Webinar: Plataforma Renesas Synergy – Construindo sua aplicação MQTT com anal...
Webinar: Plataforma Renesas Synergy – Construindo sua aplicação MQTT com anal...
 
Technical presentation on
Technical  presentation onTechnical  presentation on
Technical presentation on
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 

Más de Régis SANTONJA (7)

Mixed signal verification challenges
Mixed signal verification challengesMixed signal verification challenges
Mixed signal verification challenges
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
 
Cv June 2009
Cv June 2009Cv June 2009
Cv June 2009
 
Mc13783
Mc13783Mc13783
Mc13783
 
Multi Supply Digital Layout
Multi Supply Digital LayoutMulti Supply Digital Layout
Multi Supply Digital Layout
 
Verification Of 1 M+ Transistors Mixed Signal Ic
Verification Of 1 M+ Transistors Mixed Signal IcVerification Of 1 M+ Transistors Mixed Signal Ic
Verification Of 1 M+ Transistors Mixed Signal Ic
 
Verification Of 1 M+ Transistors Mixed Signal Ic Presentation
Verification Of 1 M+ Transistors Mixed Signal Ic   PresentationVerification Of 1 M+ Transistors Mixed Signal Ic   Presentation
Verification Of 1 M+ Transistors Mixed Signal Ic Presentation
 

Último

Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Victor Rentea
 

Último (20)

DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
Introduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDMIntroduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDM
 
Spring Boot vs Quarkus the ultimate battle - DevoxxUK
Spring Boot vs Quarkus the ultimate battle - DevoxxUKSpring Boot vs Quarkus the ultimate battle - DevoxxUK
Spring Boot vs Quarkus the ultimate battle - DevoxxUK
 
AI in Action: Real World Use Cases by Anitaraj
AI in Action: Real World Use Cases by AnitarajAI in Action: Real World Use Cases by Anitaraj
AI in Action: Real World Use Cases by Anitaraj
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontology
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 

Mixed signal verification challenges - slides

  • 1. Mixed-Signal Verification Challenges CDN Live 2010 - Munich Regis SANTONJA TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 2. Presentation ► I am Regis Santonja • Mixed-signal Verification Leader since 2003 • Previously Digital Design Engineer in mixed-signal Power-Managerment ICs @ Freescale/Motorola since 1998 • Previously Digital Design Lead @ CSTI • Previously Digital Design Engineer @ LSI LOGIC • I started as an Applications Engineer @ LSI LOGIC in 1994 • 16 years of experience in the semiconductor industry ► Working at Freescale, in the Consumer Sensor division • Accelerometers • Pressure • Etc… TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 3. Agenda ►The IC ►The Test bench ►A progressive Verification Approach: from wreal to full transistor ►Merging simulation environments with eManager ►Introducing random into mixed-signal simulations ►Simulation Coverage for analog and digital ►Mixed-level Verification challenge: • bringing as much of digital advanced verification techniques to the mixed-signal world • some thoughts about closed-loop coverage-driven mixed-signal simulations ►Conclusion TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 4. The chip ►Low-power three axis accelerometer for consumer applications • Mobile phones • Gaming • High end pedometer ►Programmable G scales • +/- 2g, +/- 4g, +/- 8g ►Multiple Output Data Rate (ODR) • From 1.5Hz (low current) to 800Hz ►FIFO buffer ►Automatic embedded detection: • Orientation • Motion • Free-Fall • Single and Double Taps • Flick, shake, Tilt control, complex gesturing TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 5. The testbench ► Hierarchical testbench ►Verilog-AMS top-level ►Systemverilog sub-block ► Stimulus File ►Each specific test is coded in a stimulus file included in the testbench via a compiler’s directive. ►Test sequence driven by initial digital process ►Analog (including supplies) controlled by digital via real values Bandgap ► External components AMS LDO stimulation Memory can be: oscillator Systemverilog Monitoring ►in Verilog-A/MS (parameters controlled from DSP Code coverage Analog ADC the stimulus) Generators Functional coverage ►Spectre or other provider’s Assertions Custom Logic I2C format ► Monitoring ►Verilog-AMS Supplies and grounds Digital Transactors ►Systemverilog TESTBENCH TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 6. A progressive Verification approach Mixed Full-transistor Digital only Signal simulations • Wreal models A mix between: • All analog at transistor-level of the analog • Wreal • Digital • Behavioral • AMS models • RTL digital or RTL • Transistors • Gate-level • RTL • Transistor-level TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 7. Progressive Verification Approach 1. Digital only • Analog blocks modeled in Real Value (wreal) • Digital speed • System Design and architectural exploration • Long transient time issues can be caught • Drawbacks: No access to operators such as derivative, integration, Laplace, last_crossing Development time Signal-flow model only No easy way to model basic components such as resistors or capacitors 2. Mixed-signal • As the design progresses and more accuracy is required • Starting swapping wreal models to AMS or transistor-level low-freq, close to DC blocks (biasing,…) • Difficulty with currents between wreal and analog domains Need some specific connect modules to represent current sources Cadence R2E_current and symmetrical E2R_current do not respect current sign convention, as they pass the current values with no sign change 3. Full-transistor • Track cross-conductions (leakages) between supplies • Instabilities, oscillations • Floating nodes TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 8. Traditional simulation environments ►Analog designers • Typically run spice/spectre simulations within Cadence Analog Artist • Low usage of automatic checks • Low usage of regression • No coverage monitoring ►Digital designers • Typically run simulations from the command line • Self-checking • Regression testing • Code coverage ►Mixed-signal engineers • Typically somewhere in between analog and digital habits TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 9. A single simulation environment – 1 - ► Cadence eManager can be used as a single front-end • Need ability to launch all sorts of simulations from the command-line • Self-checking analog tests make them appear in the global Test Coverage metrics • All commands gathered in a VSIF (Verification Session Input File) file to eManager ► Digital simulations launched from make command with a Makefile: ncvlog –file rtl.caf –define stim_file=”$(TEST).v” $(COMP_ARGS) ncelab –file ncelab.caf –coverage a ncsim –status –file ncsim.caf -logfile $(TEST).log -covoverwrite -covdesign digital -covtest $(TEST) $(SIM_ARGS) TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 10. A single simulation environment – 2 - ► Mixed-signal, mixed-level simulations launched from a custom script • Pre-compiles systemverilog and custom connect modules • Builds the appropriate amsdesigner command: start_test.pl –lib top_verification -cell top_testbench -view $ATTR(my_config) -test $RUN_ENV(BRUN_TOP_FILES) -run_dir `pwd` -cds_globals generic.cds_globals -define SEED=`perl –e ‘print int(rand(1e6))’` -profile • The amsdesigner command is able to read Cadence DFII configuration views Tells which cell view (wreal, RTL, verilog-A/MS, schematic) is to be considered for each cell in each specific simulation ► Analog simulations launched from Freescale internal tool • Complex simulation scripts built from visual components in an intuitive GUI • Advanced set of automatic measures • Automatic comparison versus spec • Advanced reporting • Command-line ability TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 11. Introducing random into mixed-signal simulations ►A seed is generated at simulation launch • It is recorded in the simulation log file so that we can reproduce a simulation which would show an IC issue • It is used to drive, for example, ramp-up/down times of the IC’s external power supplies in order to capture potential cross-conduction leakages between supplies initial begin VDD_level =0.0; `ifdef SEED VDD_rise = 100u + 1u*($random(seed) % 100); VDD_fall = 100u + 1u*($random(seed) % 100); `endif // SEED @(event) VDD_level = 2.5; end analog begin V(VDD_stim) <+ transition(VDD_level, 0 VDD_rise, VDD_fall); I(VDD, VDD_stim) <+ V I(VDD, VDD_stim)/RVDD; end TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 12. Controlling the analog inputs from the digital ► All analog inputs connected via digital-controlled resistors ► High-valued resistors emulates disconnection ► Resistor value, voltage level, rise and fall times controlled from the digital test sequence (via initial process): ► This mechanism allows dynamic connection of more complex external circuitry (i.e. RC filters) External circuitry + IC Under Test - TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 13. Simulation Coverage ►Test Coverage just tells which simulation passes or fails but does not (directly) tell what is verified ►There are two other types of coverage metrics: • Code Coverage for digital section • Functional Coverage for both digital and mixed-signal TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 14. Code Coverage ►Code Coverage • Automatic • Checks which part of the digital code got executed: block, expression, toggle, FSM states and transitions • Used ICC (Incisive Comprehensive Coverage Report) to identify holes • We could increase our coverage Some functions were never disabled Lots of FSM arcs to the Idle state were missing Lesson learnt: not only is important what the IC should do, but also what it should not do (when the function is disabled or interrupted). TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 15. Functional Coverage ►NOT automatic, in opposition to Code Coverage ►Needs to be specified/coded by the user • More effort up-front ►It does not depend on the implementation, but on the functionality ►It is closely linked with the specification and the verification plan ►Systemverilog provides constructs to specify and monitor the Functional Coverage TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 16. Control-oriented Functional Coverage ►The IC measures its acceleration on the 3 dimensional axes ►They are multiplexed in time in order to save hardware ►The control of the signal chain: • is a complex time sequence which directly impacts the final measure accuracy • Needs to be carefully verified Systemverilog concurrent assertion constructs prop_vreg_en : assert property (@(posedge clk) $rose(hf_en) |=> ( vreg_en[*72] ##1 ~vreg_en[*240] ##1 vreg_en[*172] ##1 ~vreg_en[*140])[1:$]) PRINT_PASS(“VREG enable is OK”); else begin $warning(“%m fails”); PRINT_ERROR(“”); end TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 17. Data-oriented Functional Coverage ►Data-oriented Functional coverage tracks the number of times a design variable reaches a specified set of values ►The design variables to track are called coverpoints ►They are gathered into covergroups • All coverpoints in a covergroup are evaluated on the same clock • A clock can be: any expression that becomes true The start or end of execution of a named block, a task, a function,… TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 18. Data Oriented Functional Coverage – example 1 ► We tracked the coverage of our I2c register map ► The actual systemverilog code was automatically generated from an Excel sheet containing the I2c map. ► Here is systemverilog principle: `define F_CNT 1 `define F_MODE 2 `define F_OVF 3 event i2c_write_event; typedef enum { F_CNT = `F_CNT, F_MODE = `F_MODE, F_OVF = `F_OVF } i2c_field_type; i2c_field_type i2c_write_field; covergroup cg_i2c_write @i2c_write_event; cov_i2c_write: coverpoint i2c_write_field {option.auto_bin_max = 512;} endgroup: cg_i2c_write always @(`STIM.f_cnt) //`STIM refers to AMS testbench top-level if ($time >0) begin @(`DIGITAL_BLOCK.i2c.i2c_write); i2c_write_field = `F_CNT; -> i2c_write_event; end TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 19. Data Oriented Functional Coverage – example 2 ► We could also track analog events such as: • The internal supply voltage levels • The internal voltage references • Here is the principle of the systemverilog part: `define VREFON 1 `define VREFOFF 2 typedef enum { VREFON = `VREFON, VREFOFF = `VREFOFF } vref_state_type; event vref_event; vref_state_type vref = `VREFOFF; covergroup cg_vref @vref_event; cov_vref: coverpoint vref; endgroup: cg_vref cg_vref I_cg_vref = new(); • Here is the principle of the verilog-AMS code that triggers the systemverilog: always @(cross(V(`IC.VREF_adc)-0.9, 0 , 1n, 10u)) begin if (V(`IC.VREF_adc) > 0.9) covmon.vref = `VREFON; else covmon.vref = `VREFOFF; -> covmon.vref_event; end TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 20. Mixed-Signal Verification Challenge ► Wreal modeling is a means to handle analog-like signals at digital speed ► Wreal modeling can be mixed with The door of mixed-signal analog models and/or transistors simulations are now open to ► Systemverilog can be used with explore some advanced verilog-AMS to track mixed-signal digital verification tools and Functional coverage methodologies ► Systemverilog enables dynamic coverage monitoring with dedicated tasks, such as $get_coverage() ► Closed-loop, coverage-driven mixed-signal simmulations • The quality of the verification is only as good as the quality of the tests • Reaching 90% of coverage with directed tests is a big effort • Time-to-market is continuously decreasing Automatic mixed-signal test generation, based on dynamic coverage monitoring is possible TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 21. Conclusion ►We presented how we can verify a mixed-signal IC: • With a progressive approach, starting with pure wreal digital simulations, then mixing digital and analog, and finally run full-transistor simulations to track leakages and floating nodes. • With eManager as a single front-end to launch our complete set of tests (analog, digital and mixed-signal) • By dynamically controlling the analog section and the external circuitry from the digital side • By introducing random into the analog domain • By taking advantage of ICC to analyze the digital code coverage • By using Systemverilog constructs to monitor control-oriented and data-oriented Functional Coverage, including analog events monitoring ► Finally we presented some thoughts about developing a closed-loop, mixed-signal coverage-driven flow, as a complement to today’s directed mixed-signal tests TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.
  • 22. Special thanks ►I’d like to thank • Jean-Claude Mboli from Freescale, who initiated the adoption of the wreal modeling in our Sensor Division at Freescale • Thierry Nouguier, our AMS-Designer CAD expert at Freescale • Patrick Oury from Cadence, for his dedication to supporting our team on systemverilog and eManager TM Freescale Semiconductor Confidential and Proprietary Information. Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2007.