SlideShare una empresa de Scribd logo
1 de 24
ASIC DESIGN FLOW
(DIGITAL FLOW)
SUDHANSHU JANWADKAR
S. V. NATIONAL INSTITUTE OF TECHNOLOGY,
SURAT
Introduction
ASIC: Application Specific Integrated Circuits
- Electronic circuitry realised on a silicon wafer
- Performs a dedicated application
- Inherently, not programmable
- Customized for a particular application
What is required to fabricate ASICs?
- Among other requirements, Masks are a pre-
requisite
• A mask is a specification of geometric shapes that
need to be created on a certain layer.
• Masks are used to produce a pattern on a substrate,
(normally a thin slice of silicon known as a wafer).
• Several masks are used in turn, each one
reproducing a layer of the completed design
• Masks are used to create a specific patterns of each
material in a sequential manner and create a
complex pattern of several layers
Introduction
Introduction
Introduction
• Integrated circuit layout is the representation of an
integrated circuit in terms of planar geometric
shapes.
• The shapes correspond to the patterns of metal,
oxide, or semiconductor layers, that make up the
components of the integrated circuit.
• Drawing a custom layout is feasible
when the number of transistors in
circuit are less in number.
Motivation
• Modern day chips contain millions of transistors.
• Would it be feasible to design such a complex
system with help of truth table and K-maps?
• Would it be feasible to draw custom layout?
Obviously Impossible!!
Contd..
• Today’s semiconductors and electronic systems are
complex that designing them would be impossible
without electronic design automation (EDA) tools.
• Using these EDA tools, the layout engineer places and
connects all of the components that make up the chip.
• EDA tools run scripts in background which employ
complex algorithms to effect the processes.
• The typical steps are discussed.
Digital Design Flow
Verilog/
VHDL
Library
Std., Cell.
Library
Tech file
For layout
values
Look up
Table for
timing
Tech file
For RC
Parasite
extraction
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Design Analysis
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
This is a very crucial step in
digital design where the design
functionality is stated.
Like if we are making a processor,
what type of functionality is
expected??
Design Specification
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
This step involved stating in
definite terms the performance of
the chip.
Like if we are making a processor,
data size, processor speed, special
functions, power etc. is clearly
stated at this point.
So, it deals with architectural part
of the design at highest level
possible.
Based on these foundation , the
whole design is built.
Design Implementation using
HDL
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Hardware Description Language
is used to run the simulations.
It is very expensive to build the
entire chip and then verify the
performance of the architecture.
Imagine if after designing
a chip for a whole year, the chip
fabricated, does not come even
closer to the specifications.
Design Implementation using HDL
(contd..)
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Hardware description languages
provides a way to implement a
design without going into much
architecture, simulate and verify
design output and functionality.
For example, rather than building
a mux design in hardware, we
can write verilog code and verify
the output at higher level of
abstraction.
Examples of HDL: VHDL,
Verilog HDL
Synthesis
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Most of the digital designs are
build up of some basic
elements or components like
logic gates, registers, counters,
adders, substractors, shifters,
comparators, RAM, ROM etc.
Standard Cell Library is the
collection of such building
blocks which comprises most
of the digital designs.
These cell libraries are specific
to technology node (foundry).
Synthesis (contd..)
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
When the synthesis tool (e.g.
genus) encounters a specific
construct in HDL, it replaces it
with the corresponding
Standard Cell from the library
to build the entire design.
There may be different options
of standard cells available to
customize chip area, delay etc.
Like if we use a for loop , it
gets converted to counter and a
combinational circuit.
Synthesis (contd..)
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
At the end of this stage, we have
the logic circuit i.e. in terms of
gates and memories
The output of synthesis is a gate
level netlist.
Netlist is an ASCII file which
enlists and indicates the devices
and the interconnections between
them.
Simulation
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
After the netlist is generated as part
of synthesis, this netlist is
simulated to verify the functionality
of this gate level implementation of
design.
Till this step, only simulation meant
only functional verification.
Here onwards, simulation is done
also to verify if the design meets
specification (mostly in terms of
delay parameters).
Timing Analysis
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
RTL and Gate Level simulation
doesn’t take into account the
physical time delay in signal
propagation from one device to
another and through
the device.
This time delay is dependent on
the fabrication process adopted.
Each component in standard cell
library is associated with some
specific delay.
Timing Analysis (contd..)
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Delay Lookup Tables list the delays
associated with the components.
Delays are in the form of rise time,
fall time etc.
In timing analysis, using Delay
Lookup Tables, all the inputs and
outputs of components are verified
with timing introduced.
Design proceeds to further steps of
physical design only if timing is
met. If timing is not met, then
various techniques such as resizing
of standard cells, insertion of
buffers etc. may be carried out.
Place and Route
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Semiconductor layout has to follow
certain design rules to lay devices
at semiconductor level.
These design rules are fabrication
process dependent.
The layout uses layers as p/n
diffusion, nwell, pwell, metals, via
etc.
Rules involving minimum spacing
between two layers, width of each
layer, minimum area of contact
between two layers etc. are known
as Design Rules.
Place and Route (contd..)
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Placement and Routing involves
laying of the devices, placing
them and making interconnection
between them, following the
Design Rules.
The result is the design
implemented in the form of
semiconductor layers.
Extraction
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Once the layout is made, there
always is parasitic capacitances
and resistances associated with
the design.
This is because of the compact
layouts to make the chips smaller.
More you make compact layout
more will it introduce these
parasitic components.
These interferes in the
functioning and performance of
the circuit in terms of timing,
speed and power consumption.
Extraction (contd..)
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Due to these factors it becomes
very much important to extract
these devices from layout and
check the design for
performance and functionality.
Extraction would extract from
the layout, the devices formed
because of junctions of different
semiconductor and metal layers
and the interconnections.
Verification
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Verification would either be just
before tape out stage of the chip or
the stage where design is again taken
back through the same flow for
optimization or modification.
It verifies the extracted view of the
chip for performance and
functionality.
Various Design Rule Check (DRC),
Layout vs Schematic(LVS), Antenna
rule check etc. are performed.
What Next?
• When all verification is complete, layout post
processing is applied where the data is also
translated into an industry-standard format (typically
called GDSII).
• This is sent to a semiconductor foundry. The
milestone completion of the layout process of
sending this data to the foundry is called "tapeout".
• The foundry converts the data into mask data and
uses it to generate the photo-masks used in a
photolithographic process of semiconductor device
fabrication.

Más contenido relacionado

La actualidad más candente

Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEVLSIUNIVERSE
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI SYSTEM Design
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Asic backend design
Asic backend designAsic backend design
Asic backend designkbipeen
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementationskerlj
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.Ashish Singh
 

La actualidad más candente (20)

Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Physical design
Physical design Physical design
Physical design
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Physical design
Physical design Physical design
Physical design
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Asic design
Asic designAsic design
Asic design
 
Asic backend design
Asic backend designAsic backend design
Asic backend design
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
 

Similar a ASIC design Flow (Digital Design)

Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J GreavesSatya Harish
 
Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Mahmoud Abdellatif
 
Digital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) DesignDigital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) DesignMahesh Dananjaya
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYIlango Jeyasubramanian
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design MethodologiesKeshav
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...NECST Lab @ Politecnico di Milano
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project reportBharat Biyani
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital icsaroosa khan
 

Similar a ASIC design Flow (Digital Design) (20)

VLSI design flow.pptx
VLSI design flow.pptxVLSI design flow.pptx
VLSI design flow.pptx
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J Greaves
 
Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101
 
Digital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) DesignDigital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) Design
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
Vlsi
VlsiVlsi
Vlsi
 
Lec13
Lec13Lec13
Lec13
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
VLSI GDI Technology
VLSI GDI TechnologyVLSI GDI Technology
VLSI GDI Technology
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design Methodologies
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Design method
Design methodDesign method
Design method
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 

Más de Sudhanshu Janwadkar

Keypad Interfacing with 8051 Microcontroller
Keypad Interfacing with 8051 MicrocontrollerKeypad Interfacing with 8051 Microcontroller
Keypad Interfacing with 8051 MicrocontrollerSudhanshu Janwadkar
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 
Introduction to 8051 Timer/Counter
Introduction to 8051 Timer/CounterIntroduction to 8051 Timer/Counter
Introduction to 8051 Timer/CounterSudhanshu Janwadkar
 
Architecture of the Intel 8051 Microcontroller
Architecture of the Intel 8051 MicrocontrollerArchitecture of the Intel 8051 Microcontroller
Architecture of the Intel 8051 MicrocontrollerSudhanshu Janwadkar
 
Introduction to Embedded Systems
Introduction to Embedded SystemsIntroduction to Embedded Systems
Introduction to Embedded SystemsSudhanshu Janwadkar
 
Interconnects in Reconfigurable Architectures
Interconnects in Reconfigurable ArchitecturesInterconnects in Reconfigurable Architectures
Interconnects in Reconfigurable ArchitecturesSudhanshu Janwadkar
 
Design and Implementation of a GPS based Personal Tracking System
Design and Implementation of a GPS based Personal Tracking SystemDesign and Implementation of a GPS based Personal Tracking System
Design and Implementation of a GPS based Personal Tracking SystemSudhanshu Janwadkar
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewSudhanshu Janwadkar
 

Más de Sudhanshu Janwadkar (20)

DSP Processors versus ASICs
DSP Processors versus ASICsDSP Processors versus ASICs
DSP Processors versus ASICs
 
Keypad Interfacing with 8051 Microcontroller
Keypad Interfacing with 8051 MicrocontrollerKeypad Interfacing with 8051 Microcontroller
Keypad Interfacing with 8051 Microcontroller
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
LCD Interacing with 8051
LCD Interacing with 8051LCD Interacing with 8051
LCD Interacing with 8051
 
Interrupts in 8051
Interrupts in 8051Interrupts in 8051
Interrupts in 8051
 
Serial Communication in 8051
Serial Communication in 8051Serial Communication in 8051
Serial Communication in 8051
 
SPI Bus Protocol
SPI Bus ProtocolSPI Bus Protocol
SPI Bus Protocol
 
I2C Protocol
I2C ProtocolI2C Protocol
I2C Protocol
 
Introduction to 8051 Timer/Counter
Introduction to 8051 Timer/CounterIntroduction to 8051 Timer/Counter
Introduction to 8051 Timer/Counter
 
Intel 8051 Programming in C
Intel 8051 Programming in CIntel 8051 Programming in C
Intel 8051 Programming in C
 
Hardware View of Intel 8051
Hardware View of Intel 8051Hardware View of Intel 8051
Hardware View of Intel 8051
 
Architecture of the Intel 8051 Microcontroller
Architecture of the Intel 8051 MicrocontrollerArchitecture of the Intel 8051 Microcontroller
Architecture of the Intel 8051 Microcontroller
 
Introduction to Embedded Systems
Introduction to Embedded SystemsIntroduction to Embedded Systems
Introduction to Embedded Systems
 
CMOS Logic
CMOS LogicCMOS Logic
CMOS Logic
 
Interconnects in Reconfigurable Architectures
Interconnects in Reconfigurable ArchitecturesInterconnects in Reconfigurable Architectures
Interconnects in Reconfigurable Architectures
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
 
Design and Implementation of a GPS based Personal Tracking System
Design and Implementation of a GPS based Personal Tracking SystemDesign and Implementation of a GPS based Personal Tracking System
Design and Implementation of a GPS based Personal Tracking System
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual Review
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Memory and Processor Testing
Memory and Processor TestingMemory and Processor Testing
Memory and Processor Testing
 

Último

Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfciinovamais
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdfQucHHunhnh
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsTechSoup
 
Role Of Transgenic Animal In Target Validation-1.pptx
Role Of Transgenic Animal In Target Validation-1.pptxRole Of Transgenic Animal In Target Validation-1.pptx
Role Of Transgenic Animal In Target Validation-1.pptxNikitaBankoti2
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfagholdier
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17Celine George
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphThiyagu K
 
ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701bronxfugly43
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...EduSkills OECD
 
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...Shubhangi Sonawane
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxheathfieldcps1
 
psychiatric nursing HISTORY COLLECTION .docx
psychiatric  nursing HISTORY  COLLECTION  .docxpsychiatric  nursing HISTORY  COLLECTION  .docx
psychiatric nursing HISTORY COLLECTION .docxPoojaSen20
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactPECB
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhikauryashika82
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfPoh-Sun Goh
 
PROCESS RECORDING FORMAT.docx
PROCESS      RECORDING        FORMAT.docxPROCESS      RECORDING        FORMAT.docx
PROCESS RECORDING FORMAT.docxPoojaSen20
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxheathfieldcps1
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 

Último (20)

Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Role Of Transgenic Animal In Target Validation-1.pptx
Role Of Transgenic Animal In Target Validation-1.pptxRole Of Transgenic Animal In Target Validation-1.pptx
Role Of Transgenic Animal In Target Validation-1.pptx
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
 
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
psychiatric nursing HISTORY COLLECTION .docx
psychiatric  nursing HISTORY  COLLECTION  .docxpsychiatric  nursing HISTORY  COLLECTION  .docx
psychiatric nursing HISTORY COLLECTION .docx
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
PROCESS RECORDING FORMAT.docx
PROCESS      RECORDING        FORMAT.docxPROCESS      RECORDING        FORMAT.docx
PROCESS RECORDING FORMAT.docx
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 

ASIC design Flow (Digital Design)

  • 1. ASIC DESIGN FLOW (DIGITAL FLOW) SUDHANSHU JANWADKAR S. V. NATIONAL INSTITUTE OF TECHNOLOGY, SURAT
  • 2. Introduction ASIC: Application Specific Integrated Circuits - Electronic circuitry realised on a silicon wafer - Performs a dedicated application - Inherently, not programmable - Customized for a particular application What is required to fabricate ASICs? - Among other requirements, Masks are a pre- requisite
  • 3. • A mask is a specification of geometric shapes that need to be created on a certain layer. • Masks are used to produce a pattern on a substrate, (normally a thin slice of silicon known as a wafer). • Several masks are used in turn, each one reproducing a layer of the completed design • Masks are used to create a specific patterns of each material in a sequential manner and create a complex pattern of several layers Introduction
  • 5. Introduction • Integrated circuit layout is the representation of an integrated circuit in terms of planar geometric shapes. • The shapes correspond to the patterns of metal, oxide, or semiconductor layers, that make up the components of the integrated circuit. • Drawing a custom layout is feasible when the number of transistors in circuit are less in number.
  • 6. Motivation • Modern day chips contain millions of transistors. • Would it be feasible to design such a complex system with help of truth table and K-maps? • Would it be feasible to draw custom layout? Obviously Impossible!!
  • 7. Contd.. • Today’s semiconductors and electronic systems are complex that designing them would be impossible without electronic design automation (EDA) tools. • Using these EDA tools, the layout engineer places and connects all of the components that make up the chip. • EDA tools run scripts in background which employ complex algorithms to effect the processes. • The typical steps are discussed.
  • 8. Digital Design Flow Verilog/ VHDL Library Std., Cell. Library Tech file For layout values Look up Table for timing Tech file For RC Parasite extraction Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification
  • 9. Design Analysis Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification This is a very crucial step in digital design where the design functionality is stated. Like if we are making a processor, what type of functionality is expected??
  • 10. Design Specification Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification This step involved stating in definite terms the performance of the chip. Like if we are making a processor, data size, processor speed, special functions, power etc. is clearly stated at this point. So, it deals with architectural part of the design at highest level possible. Based on these foundation , the whole design is built.
  • 11. Design Implementation using HDL Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Hardware Description Language is used to run the simulations. It is very expensive to build the entire chip and then verify the performance of the architecture. Imagine if after designing a chip for a whole year, the chip fabricated, does not come even closer to the specifications.
  • 12. Design Implementation using HDL (contd..) Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Hardware description languages provides a way to implement a design without going into much architecture, simulate and verify design output and functionality. For example, rather than building a mux design in hardware, we can write verilog code and verify the output at higher level of abstraction. Examples of HDL: VHDL, Verilog HDL
  • 13. Synthesis Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Most of the digital designs are build up of some basic elements or components like logic gates, registers, counters, adders, substractors, shifters, comparators, RAM, ROM etc. Standard Cell Library is the collection of such building blocks which comprises most of the digital designs. These cell libraries are specific to technology node (foundry).
  • 14. Synthesis (contd..) Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification When the synthesis tool (e.g. genus) encounters a specific construct in HDL, it replaces it with the corresponding Standard Cell from the library to build the entire design. There may be different options of standard cells available to customize chip area, delay etc. Like if we use a for loop , it gets converted to counter and a combinational circuit.
  • 15. Synthesis (contd..) Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification At the end of this stage, we have the logic circuit i.e. in terms of gates and memories The output of synthesis is a gate level netlist. Netlist is an ASCII file which enlists and indicates the devices and the interconnections between them.
  • 16. Simulation Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification After the netlist is generated as part of synthesis, this netlist is simulated to verify the functionality of this gate level implementation of design. Till this step, only simulation meant only functional verification. Here onwards, simulation is done also to verify if the design meets specification (mostly in terms of delay parameters).
  • 17. Timing Analysis Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification RTL and Gate Level simulation doesn’t take into account the physical time delay in signal propagation from one device to another and through the device. This time delay is dependent on the fabrication process adopted. Each component in standard cell library is associated with some specific delay.
  • 18. Timing Analysis (contd..) Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Delay Lookup Tables list the delays associated with the components. Delays are in the form of rise time, fall time etc. In timing analysis, using Delay Lookup Tables, all the inputs and outputs of components are verified with timing introduced. Design proceeds to further steps of physical design only if timing is met. If timing is not met, then various techniques such as resizing of standard cells, insertion of buffers etc. may be carried out.
  • 19. Place and Route Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Semiconductor layout has to follow certain design rules to lay devices at semiconductor level. These design rules are fabrication process dependent. The layout uses layers as p/n diffusion, nwell, pwell, metals, via etc. Rules involving minimum spacing between two layers, width of each layer, minimum area of contact between two layers etc. are known as Design Rules.
  • 20. Place and Route (contd..) Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Placement and Routing involves laying of the devices, placing them and making interconnection between them, following the Design Rules. The result is the design implemented in the form of semiconductor layers.
  • 21. Extraction Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Once the layout is made, there always is parasitic capacitances and resistances associated with the design. This is because of the compact layouts to make the chips smaller. More you make compact layout more will it introduce these parasitic components. These interferes in the functioning and performance of the circuit in terms of timing, speed and power consumption.
  • 22. Extraction (contd..) Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Due to these factors it becomes very much important to extract these devices from layout and check the design for performance and functionality. Extraction would extract from the layout, the devices formed because of junctions of different semiconductor and metal layers and the interconnections.
  • 23. Verification Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Verification would either be just before tape out stage of the chip or the stage where design is again taken back through the same flow for optimization or modification. It verifies the extracted view of the chip for performance and functionality. Various Design Rule Check (DRC), Layout vs Schematic(LVS), Antenna rule check etc. are performed.
  • 24. What Next? • When all verification is complete, layout post processing is applied where the data is also translated into an industry-standard format (typically called GDSII). • This is sent to a semiconductor foundry. The milestone completion of the layout process of sending this data to the foundry is called "tapeout". • The foundry converts the data into mask data and uses it to generate the photo-masks used in a photolithographic process of semiconductor device fabrication.