SlideShare una empresa de Scribd logo
1 de 10
Descargar para leer sin conexión
Magnetic Racetrack Memory Storage
Derek M. Kita∗
MIT Department of Materials Science and Engineering
MIT Department of Physics
(Dated: February 3, 2014)
An overview of magnetic racetrack operation and components necessary to successfully realize this
memory technology is presented. Fundamental physical concepts of adiabatic and non-adiabatic
spin-torque transfer is presented and used to explain how bits of information are read, written,
and pushed through ferromagnetic racetracks. Recent challenges associated with this technology
are current densities required to move domain walls and the reliability of domain wall motion.
Resonant amplification of spin-torque transfer via pulsed current operation has been proposed to
overcome issues with current density. In addition, domain wall pinning via patterned racetracks
has demonstrated limited success in reliably controlling multiple domain walls in a single racetrack.
Lastly, new material structures such as highly efficient anisotropic heavy-metal/ferromagnet/oxide
layers are promising candidates for new racetrack geometries. Future work in interfacial spintronics
and topological effects show promise in benefiting racetrack memory and the field of magnetic
memory.
I. INTRODUCTION
Over the past decade, a rich new field combining mate-
rials science and physics has developed around manipu-
lating the spin of electrons in magnetic and semiconduct-
ing devices. This field, called spintronics, has opened up
the potential for a myriad of previously unimaginable
new devices with applications in memory storage and
logic. Memory storage technologies in particular have
received increased attention due to the high energy con-
sumption and volatility of static and dynamic random
access memory (RAM), the slow read and write times of
hard disk drives (HDD), and the cost of flash memory
and solid-state drives (SSD). Among the most promis-
ing of the spintronic memory solutions is the concept of
magnetic race track memory, which stores bits of infor-
mation in the magnetization orientation of regions in a
channel-shaped ferromagnetic structure.
The operation of racetrack memory is analogous to
a solid-state, non-volatile shift register. On one end of
the racetrack, bits may be written into the racetrack via
changing the magnetization direction in the ferromag-
netic material in the racetrack. From here, the bit is
pushed along the racetrack and may be read by magne-
toresistive tunnel junctions farther down the track, see
Figures 1 and 2. One of the true advantages to racetrack
memory lies in the ability to orient the racetrack upwards
and store bits in a larger 3-dimensional space. However,
preliminary prototypes of this memory device operate in
two dimensions.
The proposed memory density, read/write speeds, and
scalability of this device make it the strongest candidate
for a form of universal memory. However, the practical-
ity is severely limited by high current densities required
to move domain walls and the reliability of domain wall
∗ dkita@mit.edu
FIG. 1. Image borrowed from L. Thomas, et al. [1]. Concep-
tual design of a magnetic racetrack memory structure. Blue
and red colored regions indicate domains of opposite magne-
tization to one another but in plane with the racetrack di-
rection. This particular structure uses the stray-field from a
neighboring domain wall to change the magnetization of a bit
in the racetrack. Magnetization state is read from a magnetic
tunnel junction.
motion through the racetrack [2]. In addition, the exact
contributions to spin-induced magnetic flipping and do-
main wall motion are highly dependent on the materials
used and structure of the device. Several solutions and
models have been proposed to alleviate these issues, in-
cluding patterned notches along the ferromagnetic race-
track, pulsed current to move the domain walls, and
experimentation with different soft and hard magnetic
materials. In addition, anisotropic multilayer structures
have recently demonstrated very efficient movement of
domain walls under the influence of a spin-polarized cur-
rent. Examples of which are heavy metal-ferromagnet-
oxide structures that form stable Neel-type domain walls
and exhibit higher than normal current-driven domain
2
FIG. 2. Image borrowed from S. Parkin, et al. [2]. (A)
Bending of ferromagnetic racetracks in the vertical direction
could allow for higher area density bit storage. Red and blue
regions indicate areas of differing magnetization orientation.
Pulsed current perpendicular to the structure pushes domains
into or away from reading/writing elements. (B) Horizontal
racetracks offer better initial prototypes due to ease of fabri-
cation and accessibility of the device for characterization. (C)
Magnetoresistive tunnel-junctions for sensing the resistance,
corresponding to magnetization, across the domain. (D) A
method of writing bits by moving the stray field from a neigh-
boring domain wall into and out of range of the racetrack. (E)
Concept design of a high-density magnetic racetrack array.
wall motion due to a number of physical phenomena and
interfacial effects. In particular, unique heterostructures
with metals, ferromagnets, and oxides have helped to un-
cover interesting physical phenomena that will allow for
the design of practical, efficient racetrack memory.
II. OPERATING PRINCIPLES
Operation of magnetic racetrack memory requires
three essential components: (1) reading elements such
as magnetoresistive tunnel junctions that detect the tun-
neling resistance across a ferromagnetic region, (2) writ-
ing elements such as nearby wires that produce a local
oersted field or spin-torque transfer devices that change
a bit by transferring angular momentum from spin-
polarized currents, (3) a means by which domain walls
may be pushed through the ferromagnet layer, typically
via pulsed spin-polarized currents [2], and (4) a method
of reliably restricting the position of domain walls to dis-
crete distance intervals (ie. notched patterns along the
racetrack). With each of these requirements comes a
unique set of constraints and limitations. Ideally, reading
and writing elements will require low current densities to
write bits of information and not affect neighboring bits.
The current parallel to the racetrack must also push all
the sequential domain walls along in a uniform, controlled
manner. Lastly, the domain walls must be pinned in dis-
crete intervals by structures that do not significantly in-
crease the current density and have sufficient tolerance as
to prevent multiple domain walls from moving into the
same bit area. The exact geometry of proposed racetrack
memory models is visualized in Figure 2.
II.1. Reading Information from the Racetrack
To read the state of a domain in the magnetic race-
track, a magnetoresistive tunnel junction senses the re-
sistance of spin-polarized current across the bit. A mag-
netoresistive tunnel junction is a device composed of
two ferromagnetic layers separated by a thin oxide. In
each ferromagnetic material, the density of states is spin-
dependent because the internal magnetic field gives rise
to a Zeeman splitting of electron energy levels aligned
with and against the field. As a result, a larger number
of states are available to electrons oriented along the field
therefore allowing for lower resistance. When the two fer-
romagnetic materials are aligned in the same direction,
the resistance may be described by two large resistors in
series and also in parallel with two small resistors in se-
ries. When the two ferromagnetic materials are aligned
antiparallel, the equivalent resistance may be described
by a small and large resistor in series and also in parallel
with another small and large resistor in series, see Figure
3. The second configuration results in a much larger to-
tal resistance than the first, and helps to account for the
total change in resistance between the two orientations.
The magnetic tunnel junction may be characterized by
its tunneling magnetoresistance ratio (TMR) defined by
TMR ≡
Rap − Rp
Rp
(1)
where Rap is the resistance in the anti-parallel configura-
tion and Rp is the resistance in the parallel configuration.
A high TMR makes it easier for on-chip electronics to ac-
curately detect the state of the bit.
The oxide tunneling layer is also a critical component
of the tunnel junction. It both isolates the two ferromag-
netic regions and restricts the movement of carriers to
quantum mechanical tunneling. When a bias is applied
to the junction in the parallel configuration, the proba-
bility of tunneling is much larger than when the ferro-
magnets are perpendicularly configured, corresponding
to a lower resistance state. Recent work has demon-
strated that marked improvements in spin-polarization
efficiency have been possible by replacing aluminum ox-
ide (AlOx) tunnel junctions with MgO junctions due to
symmetry effects and that majority charge carrier states
3
FIG. 3. Image borrowed from Markus Meinert from the Creative Commons. On the left is a representation of two ferromagnetic
structures that are oriented in parallel to one another. Spin down electrons move with low resistance (red) and spin up
electrons move with high resistance (blue). On the right, the ferromagnets are oriented in opposite directions, resulting in
equal resistances for both spin electrons. The “eU” denotes the potential drop across the tunnel barrier from biasing.
FIG. 4. Illustration of an adiabatic spin-torque transfer process resulting in domain wall motion. Arrows in blue (bottom)
show the changing magnetization from left to right across a domain wall. The arrows in purple (middle) show the orientation
of an electron’s spin as it adiabatically follows the magnetization direction in the material. The loss of spin-angular momentum
is transferred to the lattice, creating a torque shown by the red arrows (top). Image borrowed from A. Brataas, A. Kent, and
H. Ohno [3]
decay more slowly across the insulator than the minority
state [4]. In general, this effect is enhanced by choos-
ing ferromagnetic materials whose symmetries for spin-
up and spin-down electrons differ. The result is a small
overlap between wavefunctions on either side of the ox-
ide when configured anti-parallel and larger overlap when
configured parallel, leading to a very large TMRs that
increase with widening MgO layers. Tunneling magne-
toresistance ratios as large as 604% have been observed
in magnetic tunnel junctions using MgO as the insulator
[5]. Thus, with greatly changing values of resistance for
both magnetization orientations, it is easy to accurately
detect the state of the domain on the racetrack.
II.2. Writing Information to the Racetrack
Spin-torque transfer and stray-fields from neighboring
domain walls are two methods that can be used to write
bits onto the racetrack. Using the induced magnetic field
from current through a wire is another option, but will
not be discussed because it requires high current densities
and can affect neighboring domains. First, for writing
elements using spin-torque transfer effects it is crucial to
model the magnetization of the material under different
currents. The standard Landau-Lifshitz-Gilbert (LLG)
equation describes the behavior of a magnetic moment
under the influence of a field
∂m
∂t
= −γm × Heff + αm ×
∂m
∂t
(2)
where γ is the gyromagnetic ratio, Heff is the effective
field felt by the moments, and α is the damping param-
eter. The effective field Heff contains contributions of
the magnetic field from the external field, the magneto-
static field, the anisotropy field, the field from exchange
interaction, and the field generated by the current. The
first term on the right describes the precessional motion
4
FIG. 5. Image borrowed from A. Brataas, A. D. Kent, and
H. Ohno [3]. Light blue arrow corresponds to an individual
magnetic moment under the influence of an applied field and
spin-transfer torque. Gilbert damping (green arrow) repre-
sents the torque aligning m with the field, while spin-transfer
torque (red arrow) is the result of angular momentum transfer
from the current to the moment. An additional torque arises
from the field generated by the current (light blue arrow).
of the moment, and the left-most term phenomenologi-
cally describes the damping of the moment. If we run
current through a pinned ferromagnetic layer, like NiFe,
separated by a thin oxide above our racetrack, we can
drive a highly spin-polarized current through the lat-
tice and transfer some of this angular momentum to the
atomic moments (if the direction of the electron spins
from the pinned layer mp, is in a different direction from
the racetrack domain’s magnetization m, or equivalently
m · mp = 0). Ni rich materials like NiFe are typically
used in spintronics since they have demonstrated up to
70-90% efficiency for spin-polarizing applied currents [1].
The NiFe layer’s magnetization is typically “pinned” by
an adjacent antiferromagnetic material. The exchange
interaction at the interface between the two materials in-
creases the NiFe’s coercivity. The torque resulting from
the spin-polarized current can be included in equation
(2) resulting in the following modified equations of mo-
tion for the moment
∂m
∂t
= −γm×Heff +αm×
∂m
∂t
+
γ Jη(m, mp)
2eMstF
m×(m×mp)
(3)
where η(m, mp) represents the efficiency of the spin-
torque transfer, J is the current density, and Ms is the
saturation magnetization [6]. Using this model, it is the-
oretically possible to determine the current densities re-
quired to both change the magnetization for perpendic-
ular writing of bits or move domain walls along the race-
track. For example, if the geometry of the ferromagnetic
layer is assumed to be thin with uniaxial anisotropy in-
plane with the film plane, J. Z. Sun linearized equation 3
and determined the threshold current required for switch-
ing to be
Ic = (
2e
)(
α
η
)m(H + Hk + 2πMs) (4)
where Hk is the anisotropy field and Ms is the saturation
magnetization (note that the above is in CGS units) [7].
Another method of changing the orientation of the
magnet presented by S. Parkin is via the stray field from
a domain wall adjacent to the racetrack [2], as shown in
Figure 2D. A suitable material and distance between the
nearby domain wall and racetrack must be chosen such
that the stray field increases the external field in Heff
from equation (2) enough to sufficiently rotate m by at
least an angle of π radians.
II.3. Shifting Domain Walls Down the Racetrack
Perhaps the most challenging aspect of the device’s
operation is efficiently moving domain walls through the
racetrack in a reliable and repeatable way. Ideally, do-
main walls move down the racetrack at equal velocities.
In addition, when the system is at equilibrium and no
current is applied, the domain walls should be confined
to discrete intervals so that thermal energy and neigh-
boring magnetic fields can not move them.
Movement of the domain walls can be achieved by
sending spin-polarized current through the racetrack.
The magnetization orientation of the domains are either
aligned with the direction of this current or in the oppo-
site direction of this current which results in head-to-head
domain walls or tail-to-tail domain walls. Both head-
to-head domain walls and tail-to-tail domain walls will
have moments perpendicular to the motion of the spin-
polarized current and as a result experience a torque in
the same direction. Thus, spin-polarized currents make
it possible to move both types of domain walls in the
same direction. In fact, a good physical description of
domain wall motion in nanowires or films with surface
pinning may be accounted for with only one- or two-
dimensional Landau-Lifshitz-Gilbert equations (equation
3) with spin-torque and accounting for thermal fluctua-
tions [8]. These results, in addition to work done by S.
Parkin [2], indicate efficient depinning and subsequent
domain wall motion through the use of short current
pulses.
Restricting the domain walls to discrete spatial inter-
vals is key to preserving the digital nature of this memory
5
storage device. One method of ensuring this is by fab-
ricating pinning sites, or notches, along the racetrack.
Several factors must be appropriately taken into account
through this approach. They are the current-densities re-
quired to de-pin these domain walls and the exact types
of domain walls existing in the racetrack (which will de-
termine the exact magnetization dynamics).
The operation of magnetic racetracks draws upon
many recent revelations in spintronics. High TMRs in
recent magnetic tunnel junctions allow for accurate read-
ing of the state of domains in the racetrack, while spin-
torque transfer effects and/or stray fields from adjacent
domain walls allow for accurate writing. The dynamics of
moving domain walls along the racetrack and controlling
the position of the domains to a high degree of accuracy
is under heavy research and will be further discussed in
later sections.
III. MATERIAL SELECTION
Material selection for the ferromagnetic racetrack ma-
terial plays a large role in determining the dynamics of
domain wall motion creation and movement. Both “soft”
and “hard” magnetic materials have been explored for
racetrack applications. “Soft” magnetic materials have
gained increased interest due to the ability to manipu-
late the type of domain walls and the resulting domain
wall widths. Typical materials for this application are
iron, cobalt, and/or nickel alloys due to their high satu-
ration magnetization values. “Hard” magnetic materials,
typically crystalline cobalt iron materials have also been
explored. However, the magnetic properties tend to be
governed by intrinsic properties the material such as the
magnetocrystalline anisotropy fields.
Material selection for future prototypes of racetrack
memory will be increasingly important, since interfa-
cial effects have demonstrated the ability to reduce the
threshold current density for domain wall motion. The
exact nature of these effects is highly dependent both
on the ferromagnetic materials used, the materials sur-
rounding the racetrack, the geometry of the channel,
and the defects located at these interfaces. Recent
work has explored movement of domain walls through
Ta/CoFe/MgO and Pt/CoFe/MgO, which will be dis-
cussed in the Threshold Current section.
Materials for magnetic tunnel junctions and fixed fer-
romagnetic layers (for spin-polarization) have received
significant attention recently and are relatively well de-
veloped. As such, magnetic tunnel junctions are typi-
cally made from CoFeB or CoFe fixed layers separated
from the free layer (the racetrack in this case) by an in-
sulator which is typically MgO or AlOx. Fixed layers
for spin-polarization also tend to consist of crystalline
cobalt alloys due to their large coercivity, high saturation
magnetization, and strong uniaxial magnetocrystalline
anisotropy.
FIG. 6. Image borrowed from S. Ladak et al. [9]. Top image
(a) illustrates a typical transverse type of domain wall. At the
bottom (b) is a vortex type of domain wall. Blue coloring cor-
responds to a positive value of Div(m), while red corresponds
to negative values. Note that Div(m) can be interpreted as a
magnetic charge density.
IV. DOMAIN WALL MOVEMENT
Different low-energy domain wall configurations have
been shown to exist in ferromagnetic racetracks. Both
micromagnetic simulations and results from experiments
have shown that transverse and vortex domain wall
structures are stable and common in nanowires with
anisotropy along the direction of the wire. A transverse
domain wall occurs when the magnetic moments grad-
ually begin to change direction, but the changing angle
occurs faster along one edge than the other. This domain
wall can be seen in Figure 6 (a) and has a triangle-shaped
domain wall region. Vortex domain walls, on the other
hand, occur when the gradual change in magnetic mo-
ments happens on both walls. As a result, when the
two resulting transverse-like domain walls meet, a vortex
shaped pattern is formed. It is worth noting that both
structures are chiral and exhibit correspondingly inter-
esting symmetry properties. Both transverse and vortex
structures are stable domain walls, but vortex domain
walls tend to be lower in energy. Vortex domain walls are
typically favored in wider nanowire ferromagnets since
they minimize the amount of stray field emerging from
the surfaces and minimize the magnetic charge density.
In practice, vortex domain walls can vary based on their
chirality (the clockwise or anti-clockwise orientation of
the moments Fig 6) and also the vortex core can be
pointing in- or out-of-plane. The dynamics of domain
wall motion, such as the pinning strength, are highly de-
pendent on the type of domain wall that forms [2]. A
challenge associated with magnetic racetrack memory is
the creation of specific types of domain walls in the race-
tracks to ensure uniform motion and behavior of bits.
Another important topic in the field of spintronics has
been the relative importance and magnitude of adiabatic
and non-adiabatic spin-torque transfer. When an elec-
tron passes through a magnetic material and changes its
6
FIG. 7. Image borrowed from L. Thomas et al. [1]. Top image
shows the notched pattern in a ferromagnetic nanowire used
to pin domain walls. Bottom image shows three vortex shaped
domain walls (black and white triangular shapes) pinned at
the notched locations.
moment direction, there is an equivalent amount of an-
gular momentum transferred to the magnetic material.
This spin-torque is typically described as a combination
of both adiabatic and non-adiabatic torques. The adi-
abatic torque is in-plane with the electron’s initial di-
rection and the material’s magnetization, as shown by
the red arrow in Figure 5. The non-adiabatic torque is
the term perpendicular to this plane (light blue arrow
in Figure 5) and typically arises from a gradient magne-
tization, such as in the presence of a domain wall. The
relative magnitude of these two torques depends strongly
on the geometry of the device and materials chosen. The
adiabatic term is given by the third term in equation 3
and is historically well-understood. However, the LLG
equations are typically further modified to include the
less-well understood non-adiabatic torque
τβ =
γ
2eMs
βPm × (J · )m (5)
where β characterizes the non-adiabicity, P is the spin-
polarization of the electric current, and J is the electric
current density [3].
In a completely adiabatic system, spin-polarized cur-
rent would cause the magnet moments of the material to
precess such that the adiabatic torque and Gilbert damp-
ing are equal and opposite. In this situation, there would
be no domain wall movement or magnetization reversal
until the critical current density (Ic in equation 4) is
reached. However, many experiments have demonstrated
scenarios where Ic is much smaller than predicted, sug-
gesting scenarios where the non-adiabatic term is domi-
nant. In fact, the non-adiabatic torque turns out to be an
important parameter in describing systems with wide do-
main walls and extrinsic defects. In these cases, domain
wall movement is proportional to the non-adiabicity β
and decreases with increasing Gilbert damping (α) [3].
The critical current density also tends to scale with the
extrinsic pinning strength in alloys of cobalt, iron, nickel
ferromagnets, which both indicates the non-adiabatic be-
havior of the interaction and provides motivation for the
purposeful fabrication of pinning sites along the racetrack
to control domain wall motion.
Discretely spaced pinning sites allow for the control of
the individual bit locations. Typical pinning sites con-
sist of horizontal notches patterned into the side of the
racetrack, see Figure 7. A pinning site between domains
allows the magnetization from one side of the site to the
other to change more abruptly. The result is a decrease
in domain wall energy due to a reduction in the total
exchange energy [10]. Thus, the notch effectively creates
a low-energy potential well that the domain wall prefers
to settle into. Movement of the domain wall from this
position requires both a current density larger enough to
move the domain wall (i > Ic) and a current density large
enough to excite the wall out of the potential.
V. THRESHOLD CURRENT
To effectively integrate magnetic racetrack memory
with CMOS ICs, it is important to make sure the cur-
rent densities required to move domain walls are suffi-
ciently attainable. In addition, high current densities can
cause Joule heating and result in domain wall instability.
Recent racetrack memory prototypes developed at IBM
have included heat sinks to account for this. In addition,
the energy barrier created by domain wall pinning sites
(both the purposefully created sites discussed previously
and the pinning sites from racetrack imperfections) in-
creases the complexity of this challenge.
One proposed solution to the problem of exciting the
domain wall over the pinning potentials is using reso-
nantly tuned current-pulses. Each pulse, if the frequency
is tuned to the resonant frequency of the pinning poten-
tial, will increase the energy of the domain wall until it is
no longer bound to the potential. The total current den-
sity will still need to exceed the critical current density,
but pulsed operation allows for the movement of domain
walls without increasing the current density proportional
to the depth of the potential. An illustration of this prin-
ciple can be seen in Figure 8, courtesy of S. Parkin [2].
Several challenges exist with the use of pulsed currents
to excite domain walls from the pinning sites. First, it
is important for the pinning sites to be fabricated con-
sistently enough that the resonant frequency is not sub-
stantially altered between pinning sites. If even a sin-
gle domain wall is unable to be resonantly excited and
moved to the next position, the performance of the entire
racetrack is compromised. Also, the depth of the pin-
ning potential should be uniform such that the number
of current pulses required to excite the wall is consistent
and that there is no unnecessary delay in bit movement.
If two 180o
domain walls become sufficiently close, they
can form a 360o
domain wall. Such domain walls have
entirely different motional dynamics since they interact
differently with spin-polarized currents and pinning po-
tentials.
Alternative structures have been receiving increased
attention for the purpose of threshold current reduction.
Several methods of obtaining highly spin-polarized cur-
rents using anisotropic structures and interesting surface
phenomena are under investigation due to their ability
to produce larger spin-transfer torques than with tradi-
7
FIG. 8. Image borrowed from S. Parkin [2]. At the top is a graphical representation of the current profile and the corresponding
domain wall motion is visualized below it. As the current reverses polarity at the potential well’s resonant frequency, the domain
wall becomes increasingly excited until it is finally capable of exiting the potential and moving in space.
tional devices utilizing spin valves and tunnel junctions.
In structures with inversion asymmetry (i.e. the layers
above and below the ferromagnet have different physical
properties resulting in interfacial asymmetry) the inter-
nal electric field of the material can enhance spin-orbit
coupling and give rise to additional spin-orbit torques.
This phenomena is known as the Rashba effect, and is
believed to stabilize Bloch-type domain walls in addition
to providing additional torque on the materials [11] [12].
It has also been suggested that in structures where a fer-
romagnet is adjacent to a metal layer, the Rashba effect
can enhance spin-torque transferred to the ferromagnet
from an injection of spin-polarized carriers. The spin-
polarized carriers arise from the spin-Hall effect, which is
the accumulation of spin-up and spin-down charge carri-
ers on opposite surfaces of metallic and semiconducting
structures [11].
Another interesting phenomena, the Dzyaloshinskii-
Moriya interaction (DMI), has also been under investiga-
tion due to its ability to stabilize chiral domain walls [13].
These type of domain walls have been demonstrated to
move very efficiently under the influence of spin-polarized
current. Several heavy-metal/ferromagnet/oxide struc-
tures such as Pt/CoFe/MgO and Ta/CoFe/MgO stacks
have been fabricated to study these interfacial magnetic
effects. Interestingly, these structures exhibit domain
wall motion against the flow of electrons (or along the
flow of current), which may be accounted for if the do-
main walls are of Neel-type. To distinguish between effi-
cient domain wall movement as a result of the Rashba
effect or the DMI, G. Beach et al. applied magnetic
fields in-plane with domain walls and along the flow of
current [11] [13]. The results confirmed the existence
of Neel-type domain walls which are efficiently moved
by spin-Hall currents injected from the heavy-metal re-
gion. This work provided evidence that the DMI is ul-
timately responsible for efficient domain wall movement
in these asymmetric heavy-metal/ferromagnetic stacks.
The high current densities associated with domain wall
movement in racetrack memory could be significantly re-
duced through engineering asymmetric structures where
interfacial effects such as the DMI are dominant.
Although these examples are highly specific to ferro-
magnetic films and nanowires, the underlying physical
mechanisms behind these interfacial magnetic interac-
tions are typically dependent on the materials used, the
structure of the device, the types of symmetries that ex-
ist, spin-orbit coupling and torque, internal electric and
magnetic fields, domain wall type and chirality, and even
relativistic effects. The parameter space open for scien-
tific exploration is large and suggests that improvements
to the threshold current for magnetic racetrack memory
will be found in the realm of interfacial spintronics.
VI. FUTURE OUTLOOK
Magnetic racetrack memory technology has recently
experienced a large push from academia and industry
due to its promise of energy efficient, non-volatile, high
density memory and the potential to advance our sci-
entific understanding of spin-dependent electronics and
magnetism. The future of magnetic racetrack memory
is bright, but there are several issues that need atten-
tion before this promising technology becomes a reality.
Either one of three situations is likely to occur in the
near future: (1) advances in engineering will improve do-
main wall reliability and the high current density will
be alleviated of with heatsinks and robust materials, (2)
advances in interfacial spintronics will enable controlled,
8
FIG. 9. Image borrowed from A. J. Annunziata [14]. The
top-most image (a) depicts the schematic for a racetrack pro-
totype recently fabricated at IBM using CMOS processes, (b)
shows a top-view of the ferromagnetic racetrack, (c) shows a
single memory cell, and (d) and (e) depict zoomed-out images
of an array of 256 cells with contact pins for testing
efficient domain wall motion with novel heterostructures,
or (3) an improved understanding of magnetic interac-
tions will motivate the discovery of more efficient, reli-
able, and practical memory architectures.
Pioneering work has been done at IBM, led by S.
Parkin, to develop several prototypes of small but func-
tional racetrack memory cells. For example, fully CMOS
integrated prototypes of this memory were reported and
functioning with the assistance of magnetic fields [14].
Magnetic tunnel junctions were also incorporated to read
the state of the bit. Images of these fabricated devices
can be seen in Figure 9. This effort demonstrates both
the feasibility of the current racetrack memory design
and the need for engineering developments to optimize
the processing and repeatability issues associated with
fabrication.
Racetrack memory could also see a significant increase
in performance if interfacial spintronic effects may be
properly utilized to decrease current densities. For ex-
ample, depositing ferromagnetic racetracks onto an ox-
ide material and then using a heavy-metal such as Pt
or Ta for the other side could allow next-generation race-
tracks to take advantage of the DMI-assisted domain wall
movement. Other near-future advances in this field are
likely to contribute to the materials selection and device
geometry for magnetic racetrack memory.
Lastly, it is very likely that new developments in in-
terfacial spintronics will reveal other, more interesting
physical phenomena that are not immediately applica-
ble to this technology. The study of these phenomena
are likely to result in the development of better, more
practical memory solutions. For example, the study of
topological effects in ferromagnetic materials, which has
gained an especially large interest recently, has theoreti-
cally and experimentally demonstrated that dissipation-
less pure spin-polarized currents can be found at the
surface of certain materials [15]. These materials, typ-
ically topological insulators (materials with conductive
surface states but an insulating bulk) are of interest to
understanding fundamental concepts in condensed mat-
ter physics. Their ability to generate pure spin-polarized
currents through the quantum spin-Hall effect, as de-
scribed previously, has also attracted interest from the
field of spintronics. In these cases, a deep understanding
of the underlying physics will enable materials scientists
and physicists to design novel devices for efficient mem-
ory storage.
The future of magnetic racetracks for non-volatile
memory is contingent upon the success of materials pro-
cessing and the understanding of physical concepts that
describe the interactions between electron spin and the
lattice. Assuming a sufficient understanding of spin in-
teractions is attained, numerical methods may be used
to design novel structures with optimized efficiency and
good processing bias. Already progress has been made
in computation micromagnetics, with the development of
open-source software such as the Object Oriented Micro-
Magnetic Framework (OOMMF) developed at the Na-
tional Institute of Standards and Technology (NIST).
Such software enables scientists and engineers to model
the behavior magnetic moments under the influence of
different fields by numerically propagating the LLG equa-
tions of motion. As such, when new spin-torque correc-
tions must be made, the torque can be converted to an
effective field and directly calculated/visualized for a par-
ticular structure. Such software allows for the effective
optimization and design and is of critical importance to
finding applications for interesting new physical phenom-
ena.
The ultimate success of this specific magnetic race-
track architecture, as proposed in this report, is cur-
rently unclear. Pioneering work is currently uncover-
ing the mechanisms by which domain wall movement via
spin-torque interactions occur and will ultimately decide
if this technology is practical. In addition, the mar-
ket success of racetrack memory depends on how well
it fares against competing memory solutions like spin-
torque transfer magnetic random access memory (STT-
MRAM), shown in Figure 10. STT-MRAM suffers from
a lower overall information density and is more expensive
to scale, but does not depend upon precision domain wall
movement. Both technologies require high current den-
sities for bit manipulation, which is a disadvantage for
spintronic memory competing against conventional mem-
ories. Regardless, new discoveries in the field of spin-
tronics are bound to result in technologies that will have
9
FIG. 10. Image of a basic STT-MRAM cell borrowed from
S. A. Wolf et al. [16]. In the above, “BL” corresponds to
the bit line, “SL” corresponds to the signal line, and “WL”
corresponds to the write line.
lasting impacts on the field of computer hardware.
VII. CONCLUSION
Magnetic racetrack memory is an exciting new tech-
nology that has many fundamental advantages over cur-
rent RAM, HDDs, and SSDs. Its non-volatility, high
read/write speeds, and potential for scalable ultra dense
memory make it an attractive type of memory. If effec-
tively implemented, racetrack memory has the potential
to become a universal memory (i.e. a memory device
that is fast enough to compete with RAM, cheap and
non-volatile enough to compete with HDD, and can serve
as the sole unit of memory in a computer). Such memory
has the potential to greatly simplify computer architec-
ture, effectively eliminating the need to cache data.
The potential of magnetic racetrack memory also has
broad implications for society, prominently in cutting
down the worlds consumption of energy for computing.
In 2006, data centers in the US, excluding personal and
business computers, required 6.9 Gigawatts of power, or
the equivalent of 98,000 barrels of oil per day [17]. As the
total power consumption from computing is increasing
yearly, it is important to develop greener technologies. A
significant percentage of used power is from RAM, which
needs continuous power to maintain the charge on ca-
pacitors that store bits. Magnetic random access mem-
ory technologies are fundamentally able to retain data
while unpowered and could pave the way towards faster,
cleaner computing in the future.
The operation of racetrack memory relies on efficient
read elements (such as magnetoresistive tunnel junc-
tions), low current density write elements (such as spin-
torque transfer elements from spin-polarized currents),
and a means by which domains storing the bit informa-
tion, or magnetization state, can be moved along the
long, thin ferromagnetic racetrack. Read and write el-
ements are relatively well developed, but there is work to
be done in researching domain wall dynamics. Specif-
ically, reliable control of domain walls through longer
racetracks and current densities associated with moving
domain walls and writing bits are important issues facing
this device.
Due to broad interest from both academia and indus-
try, it is of critical importance to identify the under-
lying physics behind the physics of new ferromagnetic
heterostructures so that materials may be engineered for
improved memory storage applications. Development of
practical applications using this novel structure is ex-
pected to advance the computing industry in a direc-
tion unimagined by CMOS roadmaps. Future work is
invaluable for improving the operation and feasibility of
racetrack memory and understanding other applications
of this exciting new technology.
[1] L. Thomas, S.-H. Yang, K.-S. Ryu, B. Hughes, C. Ret-
tner, D.-S. Wang, C.-H. Tsai, K.-H. Shen, and S. S. P.
Parkin, IEEE International: Electron Devices Meeting ,
24.2.1 (2011).
[2] S. S. P. Parkin, Science 320, 190 (2008).
[3] A. Brataas, A. D. Kent, and H. Ohno, Nature Materials
11, 372 (2012).
[4] W. H. Butler, X. G. Zhang, and T. C. Schulthess, Phys-
ical Review B 63 (2001).
[5] S. Ikeda, J. Hayakawa, Y. Ahizawa, Y. Lee, K. Miura,
H. Hasegawa, M. Tsunoda, F. Matsukura, and H. Ohno,
Applied Physics Letters 93 (2008).
[6] Z. Diao, Z. Li, S. Wang, Y. Ding, A. Panchula, E. Chen,
L.-C. Wang, and Y. Huai, Journal of Physics: Condensed
Matter 19 (2007).
[7] J. Z. Sun, IBM Journal of Research and Development 50,
81 (2006).
[8] S. Fukami, M. Yamanouchi, S. Ikeda, and H. Ohno, Na-
ture Communications 4 (2013).
[9] S. Ladak, S. K. Walton, K. Zeissler, T. Tyliszczak, D. E.
Read, W. R. Branford, and L. F. Cohen, New Journal
of Physics 14 (2012).
[10] R. C. O’Handley, Modern Magnetic Materials: Principles
and Applications (John Wiley and Sons Inc., 2000).
[11] E. Martinez, S. Emori, and G. S. D. Beach, Applied
Physics Letters 103 (2013).
[12] I. M. Miron, G. Gaudin, S. Auffret, B. Rodmacq,
A. Schuhl, S. Pizzini, J. Vogel, and P. Gambardella,
Nature Materials 9, 230 (2010).
[13] S. Emori, U. Bauer, S.-M. Ahn, E. Martinez, and
10
G. S. D. Beach, Nature Materials 12, 611 (2013).
[14] A. J. Annunziata, M. C. Gaidis, L. Thomas, C. W. Chien,
C. C. Hung, P. Chevalier, E. J. O’Sullivan, J. P. Hum-
mel, E. A. Joseph, Y. Zhu, T. Topuria, E. Delenia, P. M.
Rice, S. S. P. Parkin, and W. J. Gallagher, in Elec-
tron Devices Meeting (IEDM), 2011 IEEE International,
0163-1918 (2011) pp. 24.3.1–24.3.4.
[15] S. D. Bader and S. S. P. Parkin, Annula Review of Con-
densed Matter Physics 1, 71 (2010).
[16] S. A. Wolf, J. Lu, M. R. Stan, E. Chen, and D. M.
Treger, Proceedings of the IEEE 98, 2155 (2010).
[17] M. Pedram, IEEE Transactions on Computer-Aided De-
sign of Integrated Circuits and Systems 31, 1465 (2012).
ACKNOWLEDGMENTS
The author gratefully acknowledges Professor Ross for
guidance and interesting discussions of future magnetic
memory technologies.

Más contenido relacionado

La actualidad más candente

Mram (magneticRAM)
Mram (magneticRAM)Mram (magneticRAM)
Mram (magneticRAM)Mohit Patel
 
Magnetic ram
Magnetic ramMagnetic ram
Magnetic ramvinod509
 
Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Remy Lumin
 
mGate : Magnetologic gate
mGate : Magnetologic gatemGate : Magnetologic gate
mGate : Magnetologic gateSumitSagar35
 
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETA. S. M. Jannatul Islam
 
Solar RVM Documentation
Solar RVM DocumentationSolar RVM Documentation
Solar RVM DocumentationMike Schuller
 
Mythology of the Memristor
Mythology of the MemristorMythology of the Memristor
Mythology of the MemristorBlaise Mouttet
 
Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...
Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...
Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...elelijjournal
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 

La actualidad más candente (12)

Mram (magneticRAM)
Mram (magneticRAM)Mram (magneticRAM)
Mram (magneticRAM)
 
Magnetic ram
Magnetic ramMagnetic ram
Magnetic ram
 
Emt
EmtEmt
Emt
 
Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Challenges of emerging memory memristor.
Challenges of emerging memory memristor.
 
Memristor Technology
Memristor TechnologyMemristor Technology
Memristor Technology
 
mGate : Magnetologic gate
mGate : Magnetologic gatemGate : Magnetologic gate
mGate : Magnetologic gate
 
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
 
Memristor overview
Memristor overviewMemristor overview
Memristor overview
 
Solar RVM Documentation
Solar RVM DocumentationSolar RVM Documentation
Solar RVM Documentation
 
Mythology of the Memristor
Mythology of the MemristorMythology of the Memristor
Mythology of the Memristor
 
Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...
Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...
Electro-Mechanical Batteries for low earth orbit satellite with hallow cylind...
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 

Similar a Magnetic racetrack memory storage mit

Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...
Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...
Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...IRJET Journal
 
Spin valve transistor
Spin valve transistorSpin valve transistor
Spin valve transistorEeshan Mishra
 
IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...
IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...
IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...ISAR Publications
 
1 s2.0-s2352864817301992-main
1 s2.0-s2352864817301992-main1 s2.0-s2352864817301992-main
1 s2.0-s2352864817301992-mainAkshaya Rath
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...elelijjournal
 
basic principle of electrical machines
basic principle of electrical machinesbasic principle of electrical machines
basic principle of electrical machinesNebiyu Yissaye
 
Superconducting magnets on Material Science
Superconducting magnets on Material ScienceSuperconducting magnets on Material Science
Superconducting magnets on Material ScienceSneheshDutta
 
High Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFETHigh Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFETIJMER
 
domain theory hysteresis loop and magnetioresistance.pptx
domain theory hysteresis loop and magnetioresistance.pptxdomain theory hysteresis loop and magnetioresistance.pptx
domain theory hysteresis loop and magnetioresistance.pptxKiruthikaKiruthi12
 
Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...
Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...
Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...IRJET Journal
 
Artificial periodic substrates
Artificial periodic substratesArtificial periodic substrates
Artificial periodic substratesRajeev Kumar
 
Spintronics combination of nanotechnology & superconductivity
Spintronics combination of nanotechnology & superconductivitySpintronics combination of nanotechnology & superconductivity
Spintronics combination of nanotechnology & superconductivityAlexander Decker
 
52910793-Spintronics.pptx
52910793-Spintronics.pptx52910793-Spintronics.pptx
52910793-Spintronics.pptx0442TARUN
 
The effect of magnetic field direction on thermoelectric and thermomagnetic c...
The effect of magnetic field direction on thermoelectric and thermomagnetic c...The effect of magnetic field direction on thermoelectric and thermomagnetic c...
The effect of magnetic field direction on thermoelectric and thermomagnetic c...Muhammid Al-Baghdadi
 

Similar a Magnetic racetrack memory storage mit (20)

EEE 6
EEE 6EEE 6
EEE 6
 
Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...
Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...
Study of Multiple Plasmon Resonance based Broadband Cross- Polarization Conve...
 
Spin valve transistor
Spin valve transistorSpin valve transistor
Spin valve transistor
 
IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...
IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...
IJRET-V1I2P1 -Measurement and FEMM Modelling of Experimentally Generated Stro...
 
[IJET-V2I1P8] Authors:Mr. Mayur k Nemade , Porf. S.I.Kolhe
[IJET-V2I1P8] Authors:Mr. Mayur k Nemade , Porf. S.I.Kolhe[IJET-V2I1P8] Authors:Mr. Mayur k Nemade , Porf. S.I.Kolhe
[IJET-V2I1P8] Authors:Mr. Mayur k Nemade , Porf. S.I.Kolhe
 
1 s2.0-s2352864817301992-main
1 s2.0-s2352864817301992-main1 s2.0-s2352864817301992-main
1 s2.0-s2352864817301992-main
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
 
basic principle of electrical machines
basic principle of electrical machinesbasic principle of electrical machines
basic principle of electrical machines
 
Lecture 20
Lecture 20Lecture 20
Lecture 20
 
D1304022532
D1304022532D1304022532
D1304022532
 
Superconducting magnets on Material Science
Superconducting magnets on Material ScienceSuperconducting magnets on Material Science
Superconducting magnets on Material Science
 
High Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFETHigh Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFET
 
domain theory hysteresis loop and magnetioresistance.pptx
domain theory hysteresis loop and magnetioresistance.pptxdomain theory hysteresis loop and magnetioresistance.pptx
domain theory hysteresis loop and magnetioresistance.pptx
 
Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...
Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...
Analysis of Hysteresis and Eddy Current losses in ferromagnetic plate induced...
 
EMPS ME191 FINAL REPORT
EMPS ME191 FINAL REPORTEMPS ME191 FINAL REPORT
EMPS ME191 FINAL REPORT
 
Artificial periodic substrates
Artificial periodic substratesArtificial periodic substrates
Artificial periodic substrates
 
Spintronics combination of nanotechnology & superconductivity
Spintronics combination of nanotechnology & superconductivitySpintronics combination of nanotechnology & superconductivity
Spintronics combination of nanotechnology & superconductivity
 
52910793-Spintronics.pptx
52910793-Spintronics.pptx52910793-Spintronics.pptx
52910793-Spintronics.pptx
 
The effect of magnetic field direction on thermoelectric and thermomagnetic c...
The effect of magnetic field direction on thermoelectric and thermomagnetic c...The effect of magnetic field direction on thermoelectric and thermomagnetic c...
The effect of magnetic field direction on thermoelectric and thermomagnetic c...
 

Magnetic racetrack memory storage mit

  • 1. Magnetic Racetrack Memory Storage Derek M. Kita∗ MIT Department of Materials Science and Engineering MIT Department of Physics (Dated: February 3, 2014) An overview of magnetic racetrack operation and components necessary to successfully realize this memory technology is presented. Fundamental physical concepts of adiabatic and non-adiabatic spin-torque transfer is presented and used to explain how bits of information are read, written, and pushed through ferromagnetic racetracks. Recent challenges associated with this technology are current densities required to move domain walls and the reliability of domain wall motion. Resonant amplification of spin-torque transfer via pulsed current operation has been proposed to overcome issues with current density. In addition, domain wall pinning via patterned racetracks has demonstrated limited success in reliably controlling multiple domain walls in a single racetrack. Lastly, new material structures such as highly efficient anisotropic heavy-metal/ferromagnet/oxide layers are promising candidates for new racetrack geometries. Future work in interfacial spintronics and topological effects show promise in benefiting racetrack memory and the field of magnetic memory. I. INTRODUCTION Over the past decade, a rich new field combining mate- rials science and physics has developed around manipu- lating the spin of electrons in magnetic and semiconduct- ing devices. This field, called spintronics, has opened up the potential for a myriad of previously unimaginable new devices with applications in memory storage and logic. Memory storage technologies in particular have received increased attention due to the high energy con- sumption and volatility of static and dynamic random access memory (RAM), the slow read and write times of hard disk drives (HDD), and the cost of flash memory and solid-state drives (SSD). Among the most promis- ing of the spintronic memory solutions is the concept of magnetic race track memory, which stores bits of infor- mation in the magnetization orientation of regions in a channel-shaped ferromagnetic structure. The operation of racetrack memory is analogous to a solid-state, non-volatile shift register. On one end of the racetrack, bits may be written into the racetrack via changing the magnetization direction in the ferromag- netic material in the racetrack. From here, the bit is pushed along the racetrack and may be read by magne- toresistive tunnel junctions farther down the track, see Figures 1 and 2. One of the true advantages to racetrack memory lies in the ability to orient the racetrack upwards and store bits in a larger 3-dimensional space. However, preliminary prototypes of this memory device operate in two dimensions. The proposed memory density, read/write speeds, and scalability of this device make it the strongest candidate for a form of universal memory. However, the practical- ity is severely limited by high current densities required to move domain walls and the reliability of domain wall ∗ dkita@mit.edu FIG. 1. Image borrowed from L. Thomas, et al. [1]. Concep- tual design of a magnetic racetrack memory structure. Blue and red colored regions indicate domains of opposite magne- tization to one another but in plane with the racetrack di- rection. This particular structure uses the stray-field from a neighboring domain wall to change the magnetization of a bit in the racetrack. Magnetization state is read from a magnetic tunnel junction. motion through the racetrack [2]. In addition, the exact contributions to spin-induced magnetic flipping and do- main wall motion are highly dependent on the materials used and structure of the device. Several solutions and models have been proposed to alleviate these issues, in- cluding patterned notches along the ferromagnetic race- track, pulsed current to move the domain walls, and experimentation with different soft and hard magnetic materials. In addition, anisotropic multilayer structures have recently demonstrated very efficient movement of domain walls under the influence of a spin-polarized cur- rent. Examples of which are heavy metal-ferromagnet- oxide structures that form stable Neel-type domain walls and exhibit higher than normal current-driven domain
  • 2. 2 FIG. 2. Image borrowed from S. Parkin, et al. [2]. (A) Bending of ferromagnetic racetracks in the vertical direction could allow for higher area density bit storage. Red and blue regions indicate areas of differing magnetization orientation. Pulsed current perpendicular to the structure pushes domains into or away from reading/writing elements. (B) Horizontal racetracks offer better initial prototypes due to ease of fabri- cation and accessibility of the device for characterization. (C) Magnetoresistive tunnel-junctions for sensing the resistance, corresponding to magnetization, across the domain. (D) A method of writing bits by moving the stray field from a neigh- boring domain wall into and out of range of the racetrack. (E) Concept design of a high-density magnetic racetrack array. wall motion due to a number of physical phenomena and interfacial effects. In particular, unique heterostructures with metals, ferromagnets, and oxides have helped to un- cover interesting physical phenomena that will allow for the design of practical, efficient racetrack memory. II. OPERATING PRINCIPLES Operation of magnetic racetrack memory requires three essential components: (1) reading elements such as magnetoresistive tunnel junctions that detect the tun- neling resistance across a ferromagnetic region, (2) writ- ing elements such as nearby wires that produce a local oersted field or spin-torque transfer devices that change a bit by transferring angular momentum from spin- polarized currents, (3) a means by which domain walls may be pushed through the ferromagnet layer, typically via pulsed spin-polarized currents [2], and (4) a method of reliably restricting the position of domain walls to dis- crete distance intervals (ie. notched patterns along the racetrack). With each of these requirements comes a unique set of constraints and limitations. Ideally, reading and writing elements will require low current densities to write bits of information and not affect neighboring bits. The current parallel to the racetrack must also push all the sequential domain walls along in a uniform, controlled manner. Lastly, the domain walls must be pinned in dis- crete intervals by structures that do not significantly in- crease the current density and have sufficient tolerance as to prevent multiple domain walls from moving into the same bit area. The exact geometry of proposed racetrack memory models is visualized in Figure 2. II.1. Reading Information from the Racetrack To read the state of a domain in the magnetic race- track, a magnetoresistive tunnel junction senses the re- sistance of spin-polarized current across the bit. A mag- netoresistive tunnel junction is a device composed of two ferromagnetic layers separated by a thin oxide. In each ferromagnetic material, the density of states is spin- dependent because the internal magnetic field gives rise to a Zeeman splitting of electron energy levels aligned with and against the field. As a result, a larger number of states are available to electrons oriented along the field therefore allowing for lower resistance. When the two fer- romagnetic materials are aligned in the same direction, the resistance may be described by two large resistors in series and also in parallel with two small resistors in se- ries. When the two ferromagnetic materials are aligned antiparallel, the equivalent resistance may be described by a small and large resistor in series and also in parallel with another small and large resistor in series, see Figure 3. The second configuration results in a much larger to- tal resistance than the first, and helps to account for the total change in resistance between the two orientations. The magnetic tunnel junction may be characterized by its tunneling magnetoresistance ratio (TMR) defined by TMR ≡ Rap − Rp Rp (1) where Rap is the resistance in the anti-parallel configura- tion and Rp is the resistance in the parallel configuration. A high TMR makes it easier for on-chip electronics to ac- curately detect the state of the bit. The oxide tunneling layer is also a critical component of the tunnel junction. It both isolates the two ferromag- netic regions and restricts the movement of carriers to quantum mechanical tunneling. When a bias is applied to the junction in the parallel configuration, the proba- bility of tunneling is much larger than when the ferro- magnets are perpendicularly configured, corresponding to a lower resistance state. Recent work has demon- strated that marked improvements in spin-polarization efficiency have been possible by replacing aluminum ox- ide (AlOx) tunnel junctions with MgO junctions due to symmetry effects and that majority charge carrier states
  • 3. 3 FIG. 3. Image borrowed from Markus Meinert from the Creative Commons. On the left is a representation of two ferromagnetic structures that are oriented in parallel to one another. Spin down electrons move with low resistance (red) and spin up electrons move with high resistance (blue). On the right, the ferromagnets are oriented in opposite directions, resulting in equal resistances for both spin electrons. The “eU” denotes the potential drop across the tunnel barrier from biasing. FIG. 4. Illustration of an adiabatic spin-torque transfer process resulting in domain wall motion. Arrows in blue (bottom) show the changing magnetization from left to right across a domain wall. The arrows in purple (middle) show the orientation of an electron’s spin as it adiabatically follows the magnetization direction in the material. The loss of spin-angular momentum is transferred to the lattice, creating a torque shown by the red arrows (top). Image borrowed from A. Brataas, A. Kent, and H. Ohno [3] decay more slowly across the insulator than the minority state [4]. In general, this effect is enhanced by choos- ing ferromagnetic materials whose symmetries for spin- up and spin-down electrons differ. The result is a small overlap between wavefunctions on either side of the ox- ide when configured anti-parallel and larger overlap when configured parallel, leading to a very large TMRs that increase with widening MgO layers. Tunneling magne- toresistance ratios as large as 604% have been observed in magnetic tunnel junctions using MgO as the insulator [5]. Thus, with greatly changing values of resistance for both magnetization orientations, it is easy to accurately detect the state of the domain on the racetrack. II.2. Writing Information to the Racetrack Spin-torque transfer and stray-fields from neighboring domain walls are two methods that can be used to write bits onto the racetrack. Using the induced magnetic field from current through a wire is another option, but will not be discussed because it requires high current densities and can affect neighboring domains. First, for writing elements using spin-torque transfer effects it is crucial to model the magnetization of the material under different currents. The standard Landau-Lifshitz-Gilbert (LLG) equation describes the behavior of a magnetic moment under the influence of a field ∂m ∂t = −γm × Heff + αm × ∂m ∂t (2) where γ is the gyromagnetic ratio, Heff is the effective field felt by the moments, and α is the damping param- eter. The effective field Heff contains contributions of the magnetic field from the external field, the magneto- static field, the anisotropy field, the field from exchange interaction, and the field generated by the current. The first term on the right describes the precessional motion
  • 4. 4 FIG. 5. Image borrowed from A. Brataas, A. D. Kent, and H. Ohno [3]. Light blue arrow corresponds to an individual magnetic moment under the influence of an applied field and spin-transfer torque. Gilbert damping (green arrow) repre- sents the torque aligning m with the field, while spin-transfer torque (red arrow) is the result of angular momentum transfer from the current to the moment. An additional torque arises from the field generated by the current (light blue arrow). of the moment, and the left-most term phenomenologi- cally describes the damping of the moment. If we run current through a pinned ferromagnetic layer, like NiFe, separated by a thin oxide above our racetrack, we can drive a highly spin-polarized current through the lat- tice and transfer some of this angular momentum to the atomic moments (if the direction of the electron spins from the pinned layer mp, is in a different direction from the racetrack domain’s magnetization m, or equivalently m · mp = 0). Ni rich materials like NiFe are typically used in spintronics since they have demonstrated up to 70-90% efficiency for spin-polarizing applied currents [1]. The NiFe layer’s magnetization is typically “pinned” by an adjacent antiferromagnetic material. The exchange interaction at the interface between the two materials in- creases the NiFe’s coercivity. The torque resulting from the spin-polarized current can be included in equation (2) resulting in the following modified equations of mo- tion for the moment ∂m ∂t = −γm×Heff +αm× ∂m ∂t + γ Jη(m, mp) 2eMstF m×(m×mp) (3) where η(m, mp) represents the efficiency of the spin- torque transfer, J is the current density, and Ms is the saturation magnetization [6]. Using this model, it is the- oretically possible to determine the current densities re- quired to both change the magnetization for perpendic- ular writing of bits or move domain walls along the race- track. For example, if the geometry of the ferromagnetic layer is assumed to be thin with uniaxial anisotropy in- plane with the film plane, J. Z. Sun linearized equation 3 and determined the threshold current required for switch- ing to be Ic = ( 2e )( α η )m(H + Hk + 2πMs) (4) where Hk is the anisotropy field and Ms is the saturation magnetization (note that the above is in CGS units) [7]. Another method of changing the orientation of the magnet presented by S. Parkin is via the stray field from a domain wall adjacent to the racetrack [2], as shown in Figure 2D. A suitable material and distance between the nearby domain wall and racetrack must be chosen such that the stray field increases the external field in Heff from equation (2) enough to sufficiently rotate m by at least an angle of π radians. II.3. Shifting Domain Walls Down the Racetrack Perhaps the most challenging aspect of the device’s operation is efficiently moving domain walls through the racetrack in a reliable and repeatable way. Ideally, do- main walls move down the racetrack at equal velocities. In addition, when the system is at equilibrium and no current is applied, the domain walls should be confined to discrete intervals so that thermal energy and neigh- boring magnetic fields can not move them. Movement of the domain walls can be achieved by sending spin-polarized current through the racetrack. The magnetization orientation of the domains are either aligned with the direction of this current or in the oppo- site direction of this current which results in head-to-head domain walls or tail-to-tail domain walls. Both head- to-head domain walls and tail-to-tail domain walls will have moments perpendicular to the motion of the spin- polarized current and as a result experience a torque in the same direction. Thus, spin-polarized currents make it possible to move both types of domain walls in the same direction. In fact, a good physical description of domain wall motion in nanowires or films with surface pinning may be accounted for with only one- or two- dimensional Landau-Lifshitz-Gilbert equations (equation 3) with spin-torque and accounting for thermal fluctua- tions [8]. These results, in addition to work done by S. Parkin [2], indicate efficient depinning and subsequent domain wall motion through the use of short current pulses. Restricting the domain walls to discrete spatial inter- vals is key to preserving the digital nature of this memory
  • 5. 5 storage device. One method of ensuring this is by fab- ricating pinning sites, or notches, along the racetrack. Several factors must be appropriately taken into account through this approach. They are the current-densities re- quired to de-pin these domain walls and the exact types of domain walls existing in the racetrack (which will de- termine the exact magnetization dynamics). The operation of magnetic racetracks draws upon many recent revelations in spintronics. High TMRs in recent magnetic tunnel junctions allow for accurate read- ing of the state of domains in the racetrack, while spin- torque transfer effects and/or stray fields from adjacent domain walls allow for accurate writing. The dynamics of moving domain walls along the racetrack and controlling the position of the domains to a high degree of accuracy is under heavy research and will be further discussed in later sections. III. MATERIAL SELECTION Material selection for the ferromagnetic racetrack ma- terial plays a large role in determining the dynamics of domain wall motion creation and movement. Both “soft” and “hard” magnetic materials have been explored for racetrack applications. “Soft” magnetic materials have gained increased interest due to the ability to manipu- late the type of domain walls and the resulting domain wall widths. Typical materials for this application are iron, cobalt, and/or nickel alloys due to their high satu- ration magnetization values. “Hard” magnetic materials, typically crystalline cobalt iron materials have also been explored. However, the magnetic properties tend to be governed by intrinsic properties the material such as the magnetocrystalline anisotropy fields. Material selection for future prototypes of racetrack memory will be increasingly important, since interfa- cial effects have demonstrated the ability to reduce the threshold current density for domain wall motion. The exact nature of these effects is highly dependent both on the ferromagnetic materials used, the materials sur- rounding the racetrack, the geometry of the channel, and the defects located at these interfaces. Recent work has explored movement of domain walls through Ta/CoFe/MgO and Pt/CoFe/MgO, which will be dis- cussed in the Threshold Current section. Materials for magnetic tunnel junctions and fixed fer- romagnetic layers (for spin-polarization) have received significant attention recently and are relatively well de- veloped. As such, magnetic tunnel junctions are typi- cally made from CoFeB or CoFe fixed layers separated from the free layer (the racetrack in this case) by an in- sulator which is typically MgO or AlOx. Fixed layers for spin-polarization also tend to consist of crystalline cobalt alloys due to their large coercivity, high saturation magnetization, and strong uniaxial magnetocrystalline anisotropy. FIG. 6. Image borrowed from S. Ladak et al. [9]. Top image (a) illustrates a typical transverse type of domain wall. At the bottom (b) is a vortex type of domain wall. Blue coloring cor- responds to a positive value of Div(m), while red corresponds to negative values. Note that Div(m) can be interpreted as a magnetic charge density. IV. DOMAIN WALL MOVEMENT Different low-energy domain wall configurations have been shown to exist in ferromagnetic racetracks. Both micromagnetic simulations and results from experiments have shown that transverse and vortex domain wall structures are stable and common in nanowires with anisotropy along the direction of the wire. A transverse domain wall occurs when the magnetic moments grad- ually begin to change direction, but the changing angle occurs faster along one edge than the other. This domain wall can be seen in Figure 6 (a) and has a triangle-shaped domain wall region. Vortex domain walls, on the other hand, occur when the gradual change in magnetic mo- ments happens on both walls. As a result, when the two resulting transverse-like domain walls meet, a vortex shaped pattern is formed. It is worth noting that both structures are chiral and exhibit correspondingly inter- esting symmetry properties. Both transverse and vortex structures are stable domain walls, but vortex domain walls tend to be lower in energy. Vortex domain walls are typically favored in wider nanowire ferromagnets since they minimize the amount of stray field emerging from the surfaces and minimize the magnetic charge density. In practice, vortex domain walls can vary based on their chirality (the clockwise or anti-clockwise orientation of the moments Fig 6) and also the vortex core can be pointing in- or out-of-plane. The dynamics of domain wall motion, such as the pinning strength, are highly de- pendent on the type of domain wall that forms [2]. A challenge associated with magnetic racetrack memory is the creation of specific types of domain walls in the race- tracks to ensure uniform motion and behavior of bits. Another important topic in the field of spintronics has been the relative importance and magnitude of adiabatic and non-adiabatic spin-torque transfer. When an elec- tron passes through a magnetic material and changes its
  • 6. 6 FIG. 7. Image borrowed from L. Thomas et al. [1]. Top image shows the notched pattern in a ferromagnetic nanowire used to pin domain walls. Bottom image shows three vortex shaped domain walls (black and white triangular shapes) pinned at the notched locations. moment direction, there is an equivalent amount of an- gular momentum transferred to the magnetic material. This spin-torque is typically described as a combination of both adiabatic and non-adiabatic torques. The adi- abatic torque is in-plane with the electron’s initial di- rection and the material’s magnetization, as shown by the red arrow in Figure 5. The non-adiabatic torque is the term perpendicular to this plane (light blue arrow in Figure 5) and typically arises from a gradient magne- tization, such as in the presence of a domain wall. The relative magnitude of these two torques depends strongly on the geometry of the device and materials chosen. The adiabatic term is given by the third term in equation 3 and is historically well-understood. However, the LLG equations are typically further modified to include the less-well understood non-adiabatic torque τβ = γ 2eMs βPm × (J · )m (5) where β characterizes the non-adiabicity, P is the spin- polarization of the electric current, and J is the electric current density [3]. In a completely adiabatic system, spin-polarized cur- rent would cause the magnet moments of the material to precess such that the adiabatic torque and Gilbert damp- ing are equal and opposite. In this situation, there would be no domain wall movement or magnetization reversal until the critical current density (Ic in equation 4) is reached. However, many experiments have demonstrated scenarios where Ic is much smaller than predicted, sug- gesting scenarios where the non-adiabatic term is domi- nant. In fact, the non-adiabatic torque turns out to be an important parameter in describing systems with wide do- main walls and extrinsic defects. In these cases, domain wall movement is proportional to the non-adiabicity β and decreases with increasing Gilbert damping (α) [3]. The critical current density also tends to scale with the extrinsic pinning strength in alloys of cobalt, iron, nickel ferromagnets, which both indicates the non-adiabatic be- havior of the interaction and provides motivation for the purposeful fabrication of pinning sites along the racetrack to control domain wall motion. Discretely spaced pinning sites allow for the control of the individual bit locations. Typical pinning sites con- sist of horizontal notches patterned into the side of the racetrack, see Figure 7. A pinning site between domains allows the magnetization from one side of the site to the other to change more abruptly. The result is a decrease in domain wall energy due to a reduction in the total exchange energy [10]. Thus, the notch effectively creates a low-energy potential well that the domain wall prefers to settle into. Movement of the domain wall from this position requires both a current density larger enough to move the domain wall (i > Ic) and a current density large enough to excite the wall out of the potential. V. THRESHOLD CURRENT To effectively integrate magnetic racetrack memory with CMOS ICs, it is important to make sure the cur- rent densities required to move domain walls are suffi- ciently attainable. In addition, high current densities can cause Joule heating and result in domain wall instability. Recent racetrack memory prototypes developed at IBM have included heat sinks to account for this. In addition, the energy barrier created by domain wall pinning sites (both the purposefully created sites discussed previously and the pinning sites from racetrack imperfections) in- creases the complexity of this challenge. One proposed solution to the problem of exciting the domain wall over the pinning potentials is using reso- nantly tuned current-pulses. Each pulse, if the frequency is tuned to the resonant frequency of the pinning poten- tial, will increase the energy of the domain wall until it is no longer bound to the potential. The total current den- sity will still need to exceed the critical current density, but pulsed operation allows for the movement of domain walls without increasing the current density proportional to the depth of the potential. An illustration of this prin- ciple can be seen in Figure 8, courtesy of S. Parkin [2]. Several challenges exist with the use of pulsed currents to excite domain walls from the pinning sites. First, it is important for the pinning sites to be fabricated con- sistently enough that the resonant frequency is not sub- stantially altered between pinning sites. If even a sin- gle domain wall is unable to be resonantly excited and moved to the next position, the performance of the entire racetrack is compromised. Also, the depth of the pin- ning potential should be uniform such that the number of current pulses required to excite the wall is consistent and that there is no unnecessary delay in bit movement. If two 180o domain walls become sufficiently close, they can form a 360o domain wall. Such domain walls have entirely different motional dynamics since they interact differently with spin-polarized currents and pinning po- tentials. Alternative structures have been receiving increased attention for the purpose of threshold current reduction. Several methods of obtaining highly spin-polarized cur- rents using anisotropic structures and interesting surface phenomena are under investigation due to their ability to produce larger spin-transfer torques than with tradi-
  • 7. 7 FIG. 8. Image borrowed from S. Parkin [2]. At the top is a graphical representation of the current profile and the corresponding domain wall motion is visualized below it. As the current reverses polarity at the potential well’s resonant frequency, the domain wall becomes increasingly excited until it is finally capable of exiting the potential and moving in space. tional devices utilizing spin valves and tunnel junctions. In structures with inversion asymmetry (i.e. the layers above and below the ferromagnet have different physical properties resulting in interfacial asymmetry) the inter- nal electric field of the material can enhance spin-orbit coupling and give rise to additional spin-orbit torques. This phenomena is known as the Rashba effect, and is believed to stabilize Bloch-type domain walls in addition to providing additional torque on the materials [11] [12]. It has also been suggested that in structures where a fer- romagnet is adjacent to a metal layer, the Rashba effect can enhance spin-torque transferred to the ferromagnet from an injection of spin-polarized carriers. The spin- polarized carriers arise from the spin-Hall effect, which is the accumulation of spin-up and spin-down charge carri- ers on opposite surfaces of metallic and semiconducting structures [11]. Another interesting phenomena, the Dzyaloshinskii- Moriya interaction (DMI), has also been under investiga- tion due to its ability to stabilize chiral domain walls [13]. These type of domain walls have been demonstrated to move very efficiently under the influence of spin-polarized current. Several heavy-metal/ferromagnet/oxide struc- tures such as Pt/CoFe/MgO and Ta/CoFe/MgO stacks have been fabricated to study these interfacial magnetic effects. Interestingly, these structures exhibit domain wall motion against the flow of electrons (or along the flow of current), which may be accounted for if the do- main walls are of Neel-type. To distinguish between effi- cient domain wall movement as a result of the Rashba effect or the DMI, G. Beach et al. applied magnetic fields in-plane with domain walls and along the flow of current [11] [13]. The results confirmed the existence of Neel-type domain walls which are efficiently moved by spin-Hall currents injected from the heavy-metal re- gion. This work provided evidence that the DMI is ul- timately responsible for efficient domain wall movement in these asymmetric heavy-metal/ferromagnetic stacks. The high current densities associated with domain wall movement in racetrack memory could be significantly re- duced through engineering asymmetric structures where interfacial effects such as the DMI are dominant. Although these examples are highly specific to ferro- magnetic films and nanowires, the underlying physical mechanisms behind these interfacial magnetic interac- tions are typically dependent on the materials used, the structure of the device, the types of symmetries that ex- ist, spin-orbit coupling and torque, internal electric and magnetic fields, domain wall type and chirality, and even relativistic effects. The parameter space open for scien- tific exploration is large and suggests that improvements to the threshold current for magnetic racetrack memory will be found in the realm of interfacial spintronics. VI. FUTURE OUTLOOK Magnetic racetrack memory technology has recently experienced a large push from academia and industry due to its promise of energy efficient, non-volatile, high density memory and the potential to advance our sci- entific understanding of spin-dependent electronics and magnetism. The future of magnetic racetrack memory is bright, but there are several issues that need atten- tion before this promising technology becomes a reality. Either one of three situations is likely to occur in the near future: (1) advances in engineering will improve do- main wall reliability and the high current density will be alleviated of with heatsinks and robust materials, (2) advances in interfacial spintronics will enable controlled,
  • 8. 8 FIG. 9. Image borrowed from A. J. Annunziata [14]. The top-most image (a) depicts the schematic for a racetrack pro- totype recently fabricated at IBM using CMOS processes, (b) shows a top-view of the ferromagnetic racetrack, (c) shows a single memory cell, and (d) and (e) depict zoomed-out images of an array of 256 cells with contact pins for testing efficient domain wall motion with novel heterostructures, or (3) an improved understanding of magnetic interac- tions will motivate the discovery of more efficient, reli- able, and practical memory architectures. Pioneering work has been done at IBM, led by S. Parkin, to develop several prototypes of small but func- tional racetrack memory cells. For example, fully CMOS integrated prototypes of this memory were reported and functioning with the assistance of magnetic fields [14]. Magnetic tunnel junctions were also incorporated to read the state of the bit. Images of these fabricated devices can be seen in Figure 9. This effort demonstrates both the feasibility of the current racetrack memory design and the need for engineering developments to optimize the processing and repeatability issues associated with fabrication. Racetrack memory could also see a significant increase in performance if interfacial spintronic effects may be properly utilized to decrease current densities. For ex- ample, depositing ferromagnetic racetracks onto an ox- ide material and then using a heavy-metal such as Pt or Ta for the other side could allow next-generation race- tracks to take advantage of the DMI-assisted domain wall movement. Other near-future advances in this field are likely to contribute to the materials selection and device geometry for magnetic racetrack memory. Lastly, it is very likely that new developments in in- terfacial spintronics will reveal other, more interesting physical phenomena that are not immediately applica- ble to this technology. The study of these phenomena are likely to result in the development of better, more practical memory solutions. For example, the study of topological effects in ferromagnetic materials, which has gained an especially large interest recently, has theoreti- cally and experimentally demonstrated that dissipation- less pure spin-polarized currents can be found at the surface of certain materials [15]. These materials, typ- ically topological insulators (materials with conductive surface states but an insulating bulk) are of interest to understanding fundamental concepts in condensed mat- ter physics. Their ability to generate pure spin-polarized currents through the quantum spin-Hall effect, as de- scribed previously, has also attracted interest from the field of spintronics. In these cases, a deep understanding of the underlying physics will enable materials scientists and physicists to design novel devices for efficient mem- ory storage. The future of magnetic racetracks for non-volatile memory is contingent upon the success of materials pro- cessing and the understanding of physical concepts that describe the interactions between electron spin and the lattice. Assuming a sufficient understanding of spin in- teractions is attained, numerical methods may be used to design novel structures with optimized efficiency and good processing bias. Already progress has been made in computation micromagnetics, with the development of open-source software such as the Object Oriented Micro- Magnetic Framework (OOMMF) developed at the Na- tional Institute of Standards and Technology (NIST). Such software enables scientists and engineers to model the behavior magnetic moments under the influence of different fields by numerically propagating the LLG equa- tions of motion. As such, when new spin-torque correc- tions must be made, the torque can be converted to an effective field and directly calculated/visualized for a par- ticular structure. Such software allows for the effective optimization and design and is of critical importance to finding applications for interesting new physical phenom- ena. The ultimate success of this specific magnetic race- track architecture, as proposed in this report, is cur- rently unclear. Pioneering work is currently uncover- ing the mechanisms by which domain wall movement via spin-torque interactions occur and will ultimately decide if this technology is practical. In addition, the mar- ket success of racetrack memory depends on how well it fares against competing memory solutions like spin- torque transfer magnetic random access memory (STT- MRAM), shown in Figure 10. STT-MRAM suffers from a lower overall information density and is more expensive to scale, but does not depend upon precision domain wall movement. Both technologies require high current den- sities for bit manipulation, which is a disadvantage for spintronic memory competing against conventional mem- ories. Regardless, new discoveries in the field of spin- tronics are bound to result in technologies that will have
  • 9. 9 FIG. 10. Image of a basic STT-MRAM cell borrowed from S. A. Wolf et al. [16]. In the above, “BL” corresponds to the bit line, “SL” corresponds to the signal line, and “WL” corresponds to the write line. lasting impacts on the field of computer hardware. VII. CONCLUSION Magnetic racetrack memory is an exciting new tech- nology that has many fundamental advantages over cur- rent RAM, HDDs, and SSDs. Its non-volatility, high read/write speeds, and potential for scalable ultra dense memory make it an attractive type of memory. If effec- tively implemented, racetrack memory has the potential to become a universal memory (i.e. a memory device that is fast enough to compete with RAM, cheap and non-volatile enough to compete with HDD, and can serve as the sole unit of memory in a computer). Such memory has the potential to greatly simplify computer architec- ture, effectively eliminating the need to cache data. The potential of magnetic racetrack memory also has broad implications for society, prominently in cutting down the worlds consumption of energy for computing. In 2006, data centers in the US, excluding personal and business computers, required 6.9 Gigawatts of power, or the equivalent of 98,000 barrels of oil per day [17]. As the total power consumption from computing is increasing yearly, it is important to develop greener technologies. A significant percentage of used power is from RAM, which needs continuous power to maintain the charge on ca- pacitors that store bits. Magnetic random access mem- ory technologies are fundamentally able to retain data while unpowered and could pave the way towards faster, cleaner computing in the future. The operation of racetrack memory relies on efficient read elements (such as magnetoresistive tunnel junc- tions), low current density write elements (such as spin- torque transfer elements from spin-polarized currents), and a means by which domains storing the bit informa- tion, or magnetization state, can be moved along the long, thin ferromagnetic racetrack. Read and write el- ements are relatively well developed, but there is work to be done in researching domain wall dynamics. Specif- ically, reliable control of domain walls through longer racetracks and current densities associated with moving domain walls and writing bits are important issues facing this device. Due to broad interest from both academia and indus- try, it is of critical importance to identify the under- lying physics behind the physics of new ferromagnetic heterostructures so that materials may be engineered for improved memory storage applications. Development of practical applications using this novel structure is ex- pected to advance the computing industry in a direc- tion unimagined by CMOS roadmaps. Future work is invaluable for improving the operation and feasibility of racetrack memory and understanding other applications of this exciting new technology. [1] L. Thomas, S.-H. Yang, K.-S. Ryu, B. Hughes, C. Ret- tner, D.-S. Wang, C.-H. Tsai, K.-H. Shen, and S. S. P. Parkin, IEEE International: Electron Devices Meeting , 24.2.1 (2011). [2] S. S. P. Parkin, Science 320, 190 (2008). [3] A. Brataas, A. D. Kent, and H. Ohno, Nature Materials 11, 372 (2012). [4] W. H. Butler, X. G. Zhang, and T. C. Schulthess, Phys- ical Review B 63 (2001). [5] S. Ikeda, J. Hayakawa, Y. Ahizawa, Y. Lee, K. Miura, H. Hasegawa, M. Tsunoda, F. Matsukura, and H. Ohno, Applied Physics Letters 93 (2008). [6] Z. Diao, Z. Li, S. Wang, Y. Ding, A. Panchula, E. Chen, L.-C. Wang, and Y. Huai, Journal of Physics: Condensed Matter 19 (2007). [7] J. Z. Sun, IBM Journal of Research and Development 50, 81 (2006). [8] S. Fukami, M. Yamanouchi, S. Ikeda, and H. Ohno, Na- ture Communications 4 (2013). [9] S. Ladak, S. K. Walton, K. Zeissler, T. Tyliszczak, D. E. Read, W. R. Branford, and L. F. Cohen, New Journal of Physics 14 (2012). [10] R. C. O’Handley, Modern Magnetic Materials: Principles and Applications (John Wiley and Sons Inc., 2000). [11] E. Martinez, S. Emori, and G. S. D. Beach, Applied Physics Letters 103 (2013). [12] I. M. Miron, G. Gaudin, S. Auffret, B. Rodmacq, A. Schuhl, S. Pizzini, J. Vogel, and P. Gambardella, Nature Materials 9, 230 (2010). [13] S. Emori, U. Bauer, S.-M. Ahn, E. Martinez, and
  • 10. 10 G. S. D. Beach, Nature Materials 12, 611 (2013). [14] A. J. Annunziata, M. C. Gaidis, L. Thomas, C. W. Chien, C. C. Hung, P. Chevalier, E. J. O’Sullivan, J. P. Hum- mel, E. A. Joseph, Y. Zhu, T. Topuria, E. Delenia, P. M. Rice, S. S. P. Parkin, and W. J. Gallagher, in Elec- tron Devices Meeting (IEDM), 2011 IEEE International, 0163-1918 (2011) pp. 24.3.1–24.3.4. [15] S. D. Bader and S. S. P. Parkin, Annula Review of Con- densed Matter Physics 1, 71 (2010). [16] S. A. Wolf, J. Lu, M. R. Stan, E. Chen, and D. M. Treger, Proceedings of the IEEE 98, 2155 (2010). [17] M. Pedram, IEEE Transactions on Computer-Aided De- sign of Integrated Circuits and Systems 31, 1465 (2012). ACKNOWLEDGMENTS The author gratefully acknowledges Professor Ross for guidance and interesting discussions of future magnetic memory technologies.