SlideShare una empresa de Scribd logo
1 de 17
RDA
UNIVERSIDAD TENCICA DE MANABI
FACULTAD DE CIENCIAS INFORMATICAS
Autores:
 GONZALEZ ZAMBRANO JESUS
 MENDOZA ARAUZ JORGE DAVID
 RIVAS VARGAS WILLIAN JAVIER
 GOROZABEL ALCIVAR ALEJANDRA
 LOPEZ TUAREZ VICTOR
 CHAVEZ DEL VALLE ANA BELEN
 PEREA VILLAFUERTE ALBERTO ALEXANDER
 AVULA MOREIRA HENRRY
TEMA: UNIDAD III
LENGUAJE DE DESCRIPION DE
HARDWARE VHDL
 ENTIDAD ARQUITETCTURA
 TIPOS DE DATOS SEÑALES Y VARIABLES
 OPERADORES Y SINTAXIS
 DESCRIPCIONESTRUCTURAES POR FLUJO DE DATOS Y POR
COMPORTAMIENTO VHDL CONCURRENTEY SECUENCIAL
 DISEÑO JERARQUICO PAQUETES Y BLIBLIOTECAS
 EJEMPLO CON SOFTWAREQUARTUS II
ASIGNATURA
SISTEMAS DIGITALES
Technical University of Manabi
VISION
Being a university, leader and reference of Higher Education in Ecuador, promoting the
creation, development, transmission and dissemination of science, technology and
culture, social recognition and projection with regional and global.
MISSION
Being academics, scientists and professionals responsible, humanistic, ethical and
caring, committed to the goals of national development, which contribute to solving the
country's problems as University teaching with research, able to generate and apply
new knowledge, encouraging the promotion and dissemination of knowledge and
culture, under the Constitution of the Republic of Ecuador.
FACULTY OF COMPUTER
VISION:
Being efficient and innovative professionals in the field of computer science, with
honesty, fairness and solidarity, provide answers to the needs of society by raising their
standard of living
MISSION:
Being a unit with high academic prestige, efficiency, transparency and quality in
education, organized in their activities, protagonists of regional and national progress.
INTRODUCTION.
Withthe increasingcomplexityof digital designshascome a needto describe a circuit in the most
efficient and practical. A programming language provides the possibility of a high level of
abstraction and is the right solution for the task.
Amongthe languages fordescribingdigitalcircuits,the VHDListhe most popular is achieving, as a
standard and its wide range of applications, from modeling for circuit simulation, to automatic
synthesis of circuits.
Hardware description languages (HDLs, Hardware Description Languages) have been used since
the 70 cycles in digital system design aided electronic CAD tools. At first it was a series of
languages thatdidnot reacha successthat wouldallow itsconsolidation in industry or academia.
In the 80 listedlanguages VerilogandVHDL,drawingonthe availability of hardware and software
tools increasingly powerful and affordable technology advances in integrated circuit
manufacturing,establishedasanessential tool inthe development of new systems.Currentlyboth
languages are standardizedandsharedahegemonicpositionis cornered and end-probably soon-
market by eliminating the other languages that one way or another are still supported by some
CAD tools.
What is VHDL?
VHDL is an acronym that represents the combination of VHSIC and HDL, where VHSIC stands for
Very High Speed Integrated Circuit and is itself HDL stands for Hardware Description Language.
Although it can be used generally to describe any circuit is mainly used to program PLD
(Programmable Logic Device - Programmable Logic Device), FPGA (Field Programmable Gate
Array), ASIC and the like.
The studies for the creation of VHDL (VHSIC HDL) began in 1981, under the cover of a program
for the development of integrated circuits very high speed (VHSIC), the Department of Defense
of the United States. In 1983 Intermetrics companies, IBM and Texas Instruments received the
award of a project to make the language and a set of auxiliary tools for application.
Entities in VHDL
The entity is used to define the inputs and outputs that have a particular circuit. To define an
entity is held by keyword ENTITY.
At first it might seem that this definition is equivalent to the head of a function of any
programming language. In VHDL is more convenient to see the body as a black box with wires
for inputs and outputs. The advantage of thinking of an entity as a black box that connects to
the cable is that it iseasierto understandthe concurrent executionwill happenin the hardware.
The description of how it works inside that black box is the architecture, Below is the syntax of
an entity.
.
ENTITY nombre IS
[GENERIC(listade parámetros);]
[PORT(listade puertos);]
END [ENTITY] nombre;
Unos ejemplos
son:
Operadores.
& concatenación.Concatena cadenas: así "110" & "001" representa"110001".
** exponencial 4**2 representa4al cuadrado
<= := de asignación,el primeroparaseñales,el segundoparaconstantesyvariables.
ABS() valorabsoluto
* multiplicación
/ división
MOD (módulo)
nombre constante :tipo:= inicialización;
ENTITY ejemplo
PORT (c:IN std_logic;
d:OUT std_logic);
END ENTITY;
ARCHITECTURE ejemplo_archOFejemploIS
SIGNALa,b:std_logic;
BEGIN
PROCESS(c)
VARIABLE z:std_logic;
BEGIN
a<= c and b; --asignación deseñales:despuésdeejecutarseesta línea a seguirá
valiendo lo mismo,sólo se actualiza al acabarel PROCESS
z:=a or c; --asignación devariables:en el momento deejecutarseesta línea z valdrá a or c
(el valorque tenía a cuando empezó el PROCESS)
END PROCESS;
END ARCHITECTURE;
REM (resto)
SLL, SRL Desplazaunvectorde bitsun númerode bitsa la izquierdaoala derecha,rellenandocon
ceroslos huecoslibres
SLA, SRA Comoel anteriorperoel desplazamientoconservael signo,el valordel bitmás
significativo.
ROL, ROR rotacióna izquierdaoa derecha.Comoundesplazamiento,peroloshuecosque se
formanson ocupadosporlos bitsque vansaliendo.
=, /= igualdado desigualdad
<, <=, >, >= menor,menoro igual,mayor,mayoro igual
Not, and, nand, or, nor, xor, xnor.
Descripciones estructurales por flujo de datos y por comportamiento VHDL concurrente y
secuencial
Data Flow Description
When consider creating a program
in VHDL must not think like a
typical computer program. Do not
forget that you have to describe in
VHDL hardware, something that is
not done in a computer program.
An electronic circuit elements can
have many actions are running at
once, for example in a circuit can
have an input which is applied to
two logic gates and each output
obtained in this case in the two
paths have to be implemented
actions (logic gates) in parallel.
This is what is called concurrency.
VHDL is a concurrent language,
therefore not follow the order in
which they are written
instructions when running the
code. In fact, if two instructions,
does not have to run one before
the other, can run at once.
Descripción de flujo de datos
Ejemplo en quartus
1. Ubicar el grupo de programas de ALTERA y hacer clic en QUARTUS II
2. En el menú FILE escoge NEW PROJECT WIZARD, aparece la siguiente ventana:
inthe firsttextbox type the pathwhere yourprojectwill be
stored, recalled store each project in a different mask, in
the followingtextbox type the project name and the name
of the mainfile,itisrecommendedthat these three names
are the thereof.
Click on NEXT. If the directory does not exist, it will ask if
you want to create it, Click on YES.
3. En la siguiente ventana, se te pregunta si quieres
agregar algúnarchivoque hayasrealizadoanteriormente,si
es así selecciona la ruta y haz clic en ADD, de lo contrario
haz clic en NEXT.
CONCLUCION
Afterdoingresearchonwhatis vhdl can conclude thatit isa veryuseful tool to model circuits and
more, we can do simulations we can schedule and run a pin circuits actions is a very versatile
language This language is syntactically similar to high-level programming-Verilog has a syntax
similar to C and VHDL-ADA and differ from them in that their semantics is hardware-oriented
modeling.
Its ability to allow different approaches to the modeling of circuits and independence of
technology and design methodology allow us to extend its use to the different cycles of usable
design. Thus, for professionals involved in any way with the design or maintenance of digital
systems today is crucial knowledge.
RECOMENDACIÓN
Afterobtainingresearchresultssuggesttogetherall membersof the researchgrouptomanipulate
and create móldelaVHDLhardware hasto have several aspectsthatshould be clear that they are:
• Knowledge of digital systems both use and function of digital circuits
• Knowledge and handling of gates
• Basic Programming Guidelines middle
• And have had a good understanding and application quartus and ways of working
These points are mentioned in our research have resulted and therefore recommend that
students meet by 70% with these points to achieve a successful work.
WEBGRAFIA
http://www.ate.uniovi.es/campo/PLD/teoria/VHDL%20(Francisco%20Javier%20Ferrero).pdf
http://es.wikibooks.org/wiki/Programaci%C3%B3n_en_VHDL/Arquitectura#Descripci.C3.B
3n_de_comportamiento
http://serdis.dis.ulpgc.es/~itis-dl/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf
http://193.146.57.132/depeca/repositorio/asignaturas/30791/T4_04_sentencias_vhdl.pdf
http://digital2.wikispaces.com/file/view/Dise%C3%B1o+jer%C3%A1rquico.pdf
http://serdis.dis.ulpgc.es/~itis-dl/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf
file:///D:/Users/JESS~1/AppData/Local/Temp/Rar$EX00.703/paragrupo/paquete%20y%20blibliote
s%20deficnicion/Cap%C3%ADtulo%207%20-%20PAQUETES%20Y%20BIBLIOTECAS.htm
ftp://ftp.ehu.es/cidira/dptos/depjt/Microelectronica/VHDL/ManualVHDL-SEC-UPM.pdf
http://serdis.dis.ulpgc.es/~itis-/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf
http://digital2.wikispaces.com/file/view/Dise%C3%B1o+jer%C3%A1rquico.pdf
http://serdis.dis.ulpgc.es/~itis-dl/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf
file:///D:/Users/JESS~1/AppData/Local/Temp/Rar$EX00.703/paragrupo/paquete%20y%20blibliote
s%20deficnicion/Cap%C3%ADtulo%207%20-%20PAQUETES%20Y%20BIBLIOTECAS.htm
PREGUNTAS
1. ¿Qué es VHDL?
VHDL esel acrónimoque representalacombinaciónde VHSIC y HDL, donde VHSIC es el acrónimo
de Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de Hardware Description
Language. Aunque puede ser usado de forma general para describir cualquier circuito
2. En qué año, el lenguaje VHDL se convierte en la norma IEEE-1076
En el año 1987
3. Escriba cuales son las formas de describir un circuito y hable de una de ellas
 Funcional:
 Flujode datos: describe asignacionesconcurrentes(enparalelo) de señales.
 Estructural:.
 Mixta:
4. ¿Para qué sirve una entidaden VHDL ?
La entidad sirve para definir las entradas y salidas que tendrá un determinado circuito.
5. ¿Con que palabra reservada se identifican las entidades?
Para definir una entidad se realizará mediante la palabra reservada ENTITY.
6. ¿Qué es una arquitectura en VHDL?
La arquitectura es lo que define cómo se comporta un circuito. El nombre de la arquitectura se
usará para indicarqué arquitecturase debe usar en caso que haya varias para una misma entidad
7. Hable sobre los tipos de datos en VHDL
La sintaxisde VHDLesestrictacon respectoalostipos.Cualquierobjetoque se defina debe tener
un tipo.En VHDL no existen tipos propios del lenguaje, pero existen los mecanismos para poder
definircualquiertipo.Laslibreríasque se declaranal principiodel programaincluyenlostiposmás
habituales.
8. hable sobre las constantes en VHDL
Una constante esun elementoque se inicializaaundeterminadovalorque nopuede ser
cambiado
9. hable sobre las variablesen VHDl
Su valorpuede seralteradoencualquierinstante.Esposibleasignarleunvalorinicial:Lasvariables
sólotiene sentidoenbloquesdonde laejecuciónesenserie:subprogramasy procesos(process).
10. Hable sobre las señalesenVHDL
La señal no es un objeto del lenguaje que guarda un valor, sino que lo que hace es guardar un
valor y hacerlo visible en el momento adecuado. Esto es, se puede decir que la señal tiene dos
partes, una donde ese escribe y que almacena el valor, y otra que se lee y que no tiene por qué
coincidir con lo que se acaba de escribir.
11.Escriba tres de las características de la sentencias concurrentes
 Se ejecutanal mismotiempo(enparalelo).
 Nohay prioridadentre unasyotras.
 Describenparalelismo.
12.Escriba las características de las sentencias secuencial
 Se ejecutanordenadamente,unadespuésde otra.
 El ordende las sentenciasesrelevante.
 Las sentenciasque se encuentrandentrode losprocesossonsecuenciales.
13.Hable sobre la sentenciaWHEN…ELSE
Sentencia de selección múltiple. En hardware es necesario incluir todas las opciones
posibles. En este caso es obligatorio siempre acabar la expresión con un ELSE.
14.Escriba la sintaxis de la sentencia IF
IF <condición1>THEN
[sentencias 1]
ELSIF <condición2>THEN
[sentencias 2]
ELSE
[sentencias N]
END IF;
15.Verdadero y falso
Descripción de flujo de datos es:
Como la programación concurrente no siempre es la mejor forma de describir ideas, VHDL
incorpora la programación serie, la cual se define en bloques indicados con la
sentencia PROCESS. En un mismo diseño puede haber varios bloques de este tipo, cada uno
de estos bloques corresponderá a una instrucción concurrente. Es decir,
internamente la ejecución de las instrucciones de los PROCESS es serie, pero entre los
bloques es concurrente (FALSO)
16.Complete
Diseñojerárquico
la programaciónde extensosdiseñosmediante launiónde pequeños bloques; es decir, un diseño
jerárquicoagrupavarias entidadeselectrónicas,lascualesse puedenanalizarysimular de manera
individualconfacilidad,para luego relacionarlas a través de un algoritmo de integración llamado
Top Level
17.Hable sobre las bibliotecas y paquetes
las bibliotecas (libraries) y los paquetes (packages) contenidos en ellas son elementos
fundamentales en VHDL. En cualquier descripción es habitual hacer uso de una serie tipos,
operadores,objetos,atributos,subprogramas,componentes endeterminadospaquetes,estándar
o definidos por el propio usuario. Para ello es necesario hacer dichos paquetes visibles. Por
ejemplo si en un diseño se utiliza el tipo text.
18.Enumere cuales son los tipos de datos escalares
 Enteros
 Flotantes
 Físicos
19.Unir con línea
Descripcióntextual normalizada El lenguaje VHDLposibilitaladescripción
del hardware condistintosnivelesde
abstracción,pudiendoadaptarse a
distintospropósitosyutilizarseenlas
sucesivasfasesque se danenel desarrollo
de los diseños
Amplio rango de capacidad descriptiva El lenguaje VHDL es un
lenguaje de descripciónque especifica los
circuitos electrónicos en un formato
adecuado para ser interpretado tanto por
máquinas como por personas
20.Escriba los pasos para crear un proyecto en quartus II
LECCION #1
1. De lo explicadoenla exposiciónexplique consuspropias palabras que esVHDL
2. Escriba el nombre de 5 operadoresque usa Vhdl y escriba el concepto de una de ellos
 & concatenación.Concatena cadenas
 ** exponencial 4**2
 <= :=
 ABS() :devuelve el valorabsoluto
 Multiplicación
3. Coloque Verdaderoy falso y explique surespuesta
La señal noesun objetodel lenguaje que guardaunvalor (verdadero)
Porque:sinoque lo que hace es guardar unvalor y hacerlovisible en el momento adecuado. Esto
es,se puede decirque laseñal tiene dospartes,unadonde ese escribe y que almacena el valor, y
otra que se lee y que no tiene por qué coincidir con lo que se acaba de escribir.
4. Anote los puertos de una entidad
 IN:
 OUT:
 INOUT:
 BUFFER:
5. Escoja la palabra correcta y justifique porque
Concurrente secuencial estructural jerárquico
VHDL es un lenguaje Concurrente
Porque:como consecuencianose seguiráel ordenen que estánescritas las instrucciones a la
hora de ejecutar el código. De hecho, si hay dosinstrucciones, notiene porqué ejecutarse
una antesque otra, puedenejecutarse alavez.
.
Fotos
Foto #2
Fuente:grupode unidadIII
Descripción:esperandoal Ing.Pazmiñopara
despejardudassobre laexposición
Foto #1
Fuente:grupode unidadIII
Descripción:recolecciónde información
Foto #3
Fuente:grupode unidad III
Descripción:implementandolobásicode VHDL
Foto #4
Fuente:grupode unidadIII
Descripción:desestresandosedespuésde mucho
esfuerzo
Presentación
1) Presentaciónde lacarpeta
2) Entregade lostrípticosa lospresentes
3) Presentacióndel grupode laUNIDADIII
4) Comienzode laexposiciónacargo de losintegrantesdel grupo
5) Ejemploprácticode laexposición
6) Presentaciónadicional de materialdel trabajode ejecución
7) Finalizaciónde laexposición
8) Preguntasa cargo de lospresentes
9) Calificacióndel docente
10) Findel proceso

Más contenido relacionado

La actualidad más candente

La actualidad más candente (6)

Practica 09 (6)
Practica 09 (6)Practica 09 (6)
Practica 09 (6)
 
ananossa
ananossaananossa
ananossa
 
PROGRAMACION WEB
PROGRAMACION WEBPROGRAMACION WEB
PROGRAMACION WEB
 
Programacion web introduccion
Programacion web introduccionProgramacion web introduccion
Programacion web introduccion
 
Desarollo web nivel de introduccion
Desarollo  web nivel de introduccionDesarollo  web nivel de introduccion
Desarollo web nivel de introduccion
 
Informe lpi
Informe lpiInforme lpi
Informe lpi
 

Destacado (20)

Desigualdes Web 2.0 2
Desigualdes Web 2.0 2Desigualdes Web 2.0 2
Desigualdes Web 2.0 2
 
Jennifer Mintzer SEO Practitioner Training Certificate
Jennifer Mintzer SEO Practitioner Training CertificateJennifer Mintzer SEO Practitioner Training Certificate
Jennifer Mintzer SEO Practitioner Training Certificate
 
Que es la RSS?
Que es la RSS?Que es la RSS?
Que es la RSS?
 
2015 Resume
2015 Resume2015 Resume
2015 Resume
 
Apuntes Primer Parcial
Apuntes Primer ParcialApuntes Primer Parcial
Apuntes Primer Parcial
 
Antonio
AntonioAntonio
Antonio
 
Transkip Nilai
Transkip NilaiTranskip Nilai
Transkip Nilai
 
asfasd
asfasdasfasd
asfasd
 
Mlle DANG THU PHUONG
Mlle DANG THU PHUONGMlle DANG THU PHUONG
Mlle DANG THU PHUONG
 
100-dang-thu-phuong
100-dang-thu-phuong100-dang-thu-phuong
100-dang-thu-phuong
 
Presentazione di prova2
Presentazione di prova2Presentazione di prova2
Presentazione di prova2
 
Estacion 5
Estacion 5Estacion 5
Estacion 5
 
Trabajo practico n1-1tic 23
Trabajo practico n1-1tic 23Trabajo practico n1-1tic 23
Trabajo practico n1-1tic 23
 
Mat 1 aula 1
Mat 1 aula 1Mat 1 aula 1
Mat 1 aula 1
 
Athuut
AthuutAthuut
Athuut
 
Armazenagem
ArmazenagemArmazenagem
Armazenagem
 
Guia de Segmentação no LinkedIn
Guia de Segmentação no LinkedInGuia de Segmentação no LinkedIn
Guia de Segmentação no LinkedIn
 
As atividades de apoio da logística
As atividades de apoio da logísticaAs atividades de apoio da logística
As atividades de apoio da logística
 
Casa administrativo
Casa   administrativoCasa   administrativo
Casa administrativo
 
Guia do Estudante
Guia do Estudante Guia do Estudante
Guia do Estudante
 

Similar a VHDL RDA Tecnica Manabi

Unidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JPUnidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JPJhonatan Pat
 
Manual De DISEÑO DIGITAL CON VHDL
Manual De DISEÑO DIGITAL CON VHDLManual De DISEÑO DIGITAL CON VHDL
Manual De DISEÑO DIGITAL CON VHDLHector Blanco
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLSANTIAGO PABLO ALBERTO
 
Unidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISCUnidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISCJhonatan Pat
 
Unidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBIUnidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBIJhonatan Pat
 
Electrónica digital: Introducción a la programación VHDL
Electrónica digital: Introducción a la programación VHDLElectrónica digital: Introducción a la programación VHDL
Electrónica digital: Introducción a la programación VHDLSANTIAGO PABLO ALBERTO
 
Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2SANTIAGO PABLO ALBERTO
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Documentacion final grupo de trabajo domotica
Documentacion final grupo de trabajo domoticaDocumentacion final grupo de trabajo domotica
Documentacion final grupo de trabajo domoticaRamon Rodriguez
 

Similar a VHDL RDA Tecnica Manabi (20)

intro_VHDL.pdf
intro_VHDL.pdfintro_VHDL.pdf
intro_VHDL.pdf
 
Intro vhdl
Intro vhdlIntro vhdl
Intro vhdl
 
Unidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JPUnidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JP
 
Manual De DISEÑO DIGITAL CON VHDL
Manual De DISEÑO DIGITAL CON VHDLManual De DISEÑO DIGITAL CON VHDL
Manual De DISEÑO DIGITAL CON VHDL
 
IDLconVHDL2010.pdf
IDLconVHDL2010.pdfIDLconVHDL2010.pdf
IDLconVHDL2010.pdf
 
Electrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDLElectrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDL
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
 
Unidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISCUnidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISC
 
Unidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBIUnidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBI
 
Electrónica digital: Introducción a la programación VHDL
Electrónica digital: Introducción a la programación VHDLElectrónica digital: Introducción a la programación VHDL
Electrónica digital: Introducción a la programación VHDL
 
Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2
 
Curso de vhdl
Curso de vhdl Curso de vhdl
Curso de vhdl
 
VHDL - Presentacion Brayan.pptx
VHDL - Presentacion Brayan.pptxVHDL - Presentacion Brayan.pptx
VHDL - Presentacion Brayan.pptx
 
Vhd(sistemas digitales ii trabajo)
Vhd(sistemas digitales ii trabajo)Vhd(sistemas digitales ii trabajo)
Vhd(sistemas digitales ii trabajo)
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
vhdl
vhdlvhdl
vhdl
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Documentacion final grupo de trabajo domotica
Documentacion final grupo de trabajo domoticaDocumentacion final grupo de trabajo domotica
Documentacion final grupo de trabajo domotica
 
M3
M3M3
M3
 

VHDL RDA Tecnica Manabi

  • 1. RDA UNIVERSIDAD TENCICA DE MANABI FACULTAD DE CIENCIAS INFORMATICAS Autores:  GONZALEZ ZAMBRANO JESUS  MENDOZA ARAUZ JORGE DAVID  RIVAS VARGAS WILLIAN JAVIER  GOROZABEL ALCIVAR ALEJANDRA  LOPEZ TUAREZ VICTOR  CHAVEZ DEL VALLE ANA BELEN  PEREA VILLAFUERTE ALBERTO ALEXANDER  AVULA MOREIRA HENRRY TEMA: UNIDAD III LENGUAJE DE DESCRIPION DE HARDWARE VHDL  ENTIDAD ARQUITETCTURA  TIPOS DE DATOS SEÑALES Y VARIABLES  OPERADORES Y SINTAXIS  DESCRIPCIONESTRUCTURAES POR FLUJO DE DATOS Y POR COMPORTAMIENTO VHDL CONCURRENTEY SECUENCIAL  DISEÑO JERARQUICO PAQUETES Y BLIBLIOTECAS  EJEMPLO CON SOFTWAREQUARTUS II ASIGNATURA SISTEMAS DIGITALES
  • 2. Technical University of Manabi VISION Being a university, leader and reference of Higher Education in Ecuador, promoting the creation, development, transmission and dissemination of science, technology and culture, social recognition and projection with regional and global. MISSION Being academics, scientists and professionals responsible, humanistic, ethical and caring, committed to the goals of national development, which contribute to solving the country's problems as University teaching with research, able to generate and apply new knowledge, encouraging the promotion and dissemination of knowledge and culture, under the Constitution of the Republic of Ecuador. FACULTY OF COMPUTER VISION: Being efficient and innovative professionals in the field of computer science, with honesty, fairness and solidarity, provide answers to the needs of society by raising their standard of living MISSION: Being a unit with high academic prestige, efficiency, transparency and quality in education, organized in their activities, protagonists of regional and national progress.
  • 3. INTRODUCTION. Withthe increasingcomplexityof digital designshascome a needto describe a circuit in the most efficient and practical. A programming language provides the possibility of a high level of abstraction and is the right solution for the task. Amongthe languages fordescribingdigitalcircuits,the VHDListhe most popular is achieving, as a standard and its wide range of applications, from modeling for circuit simulation, to automatic synthesis of circuits. Hardware description languages (HDLs, Hardware Description Languages) have been used since the 70 cycles in digital system design aided electronic CAD tools. At first it was a series of languages thatdidnot reacha successthat wouldallow itsconsolidation in industry or academia. In the 80 listedlanguages VerilogandVHDL,drawingonthe availability of hardware and software tools increasingly powerful and affordable technology advances in integrated circuit manufacturing,establishedasanessential tool inthe development of new systems.Currentlyboth languages are standardizedandsharedahegemonicpositionis cornered and end-probably soon- market by eliminating the other languages that one way or another are still supported by some CAD tools.
  • 4. What is VHDL? VHDL is an acronym that represents the combination of VHSIC and HDL, where VHSIC stands for Very High Speed Integrated Circuit and is itself HDL stands for Hardware Description Language. Although it can be used generally to describe any circuit is mainly used to program PLD (Programmable Logic Device - Programmable Logic Device), FPGA (Field Programmable Gate Array), ASIC and the like. The studies for the creation of VHDL (VHSIC HDL) began in 1981, under the cover of a program for the development of integrated circuits very high speed (VHSIC), the Department of Defense of the United States. In 1983 Intermetrics companies, IBM and Texas Instruments received the award of a project to make the language and a set of auxiliary tools for application. Entities in VHDL The entity is used to define the inputs and outputs that have a particular circuit. To define an entity is held by keyword ENTITY. At first it might seem that this definition is equivalent to the head of a function of any programming language. In VHDL is more convenient to see the body as a black box with wires for inputs and outputs. The advantage of thinking of an entity as a black box that connects to the cable is that it iseasierto understandthe concurrent executionwill happenin the hardware. The description of how it works inside that black box is the architecture, Below is the syntax of an entity. . ENTITY nombre IS [GENERIC(listade parámetros);] [PORT(listade puertos);] END [ENTITY] nombre;
  • 5. Unos ejemplos son: Operadores. & concatenación.Concatena cadenas: así "110" & "001" representa"110001". ** exponencial 4**2 representa4al cuadrado <= := de asignación,el primeroparaseñales,el segundoparaconstantesyvariables. ABS() valorabsoluto * multiplicación / división MOD (módulo) nombre constante :tipo:= inicialización; ENTITY ejemplo PORT (c:IN std_logic; d:OUT std_logic); END ENTITY; ARCHITECTURE ejemplo_archOFejemploIS SIGNALa,b:std_logic; BEGIN PROCESS(c) VARIABLE z:std_logic; BEGIN a<= c and b; --asignación deseñales:despuésdeejecutarseesta línea a seguirá valiendo lo mismo,sólo se actualiza al acabarel PROCESS z:=a or c; --asignación devariables:en el momento deejecutarseesta línea z valdrá a or c (el valorque tenía a cuando empezó el PROCESS) END PROCESS; END ARCHITECTURE;
  • 6. REM (resto) SLL, SRL Desplazaunvectorde bitsun númerode bitsa la izquierdaoala derecha,rellenandocon ceroslos huecoslibres SLA, SRA Comoel anteriorperoel desplazamientoconservael signo,el valordel bitmás significativo. ROL, ROR rotacióna izquierdaoa derecha.Comoundesplazamiento,peroloshuecosque se formanson ocupadosporlos bitsque vansaliendo. =, /= igualdado desigualdad <, <=, >, >= menor,menoro igual,mayor,mayoro igual Not, and, nand, or, nor, xor, xnor. Descripciones estructurales por flujo de datos y por comportamiento VHDL concurrente y secuencial Data Flow Description When consider creating a program in VHDL must not think like a typical computer program. Do not forget that you have to describe in VHDL hardware, something that is not done in a computer program. An electronic circuit elements can have many actions are running at once, for example in a circuit can have an input which is applied to two logic gates and each output obtained in this case in the two paths have to be implemented actions (logic gates) in parallel. This is what is called concurrency. VHDL is a concurrent language, therefore not follow the order in which they are written instructions when running the code. In fact, if two instructions, does not have to run one before the other, can run at once. Descripción de flujo de datos
  • 7. Ejemplo en quartus 1. Ubicar el grupo de programas de ALTERA y hacer clic en QUARTUS II 2. En el menú FILE escoge NEW PROJECT WIZARD, aparece la siguiente ventana: inthe firsttextbox type the pathwhere yourprojectwill be stored, recalled store each project in a different mask, in the followingtextbox type the project name and the name of the mainfile,itisrecommendedthat these three names are the thereof. Click on NEXT. If the directory does not exist, it will ask if you want to create it, Click on YES. 3. En la siguiente ventana, se te pregunta si quieres agregar algúnarchivoque hayasrealizadoanteriormente,si es así selecciona la ruta y haz clic en ADD, de lo contrario haz clic en NEXT.
  • 8. CONCLUCION Afterdoingresearchonwhatis vhdl can conclude thatit isa veryuseful tool to model circuits and more, we can do simulations we can schedule and run a pin circuits actions is a very versatile language This language is syntactically similar to high-level programming-Verilog has a syntax similar to C and VHDL-ADA and differ from them in that their semantics is hardware-oriented modeling. Its ability to allow different approaches to the modeling of circuits and independence of technology and design methodology allow us to extend its use to the different cycles of usable design. Thus, for professionals involved in any way with the design or maintenance of digital systems today is crucial knowledge. RECOMENDACIÓN Afterobtainingresearchresultssuggesttogetherall membersof the researchgrouptomanipulate and create móldelaVHDLhardware hasto have several aspectsthatshould be clear that they are: • Knowledge of digital systems both use and function of digital circuits • Knowledge and handling of gates • Basic Programming Guidelines middle • And have had a good understanding and application quartus and ways of working These points are mentioned in our research have resulted and therefore recommend that students meet by 70% with these points to achieve a successful work.
  • 9. WEBGRAFIA http://www.ate.uniovi.es/campo/PLD/teoria/VHDL%20(Francisco%20Javier%20Ferrero).pdf http://es.wikibooks.org/wiki/Programaci%C3%B3n_en_VHDL/Arquitectura#Descripci.C3.B 3n_de_comportamiento http://serdis.dis.ulpgc.es/~itis-dl/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf http://193.146.57.132/depeca/repositorio/asignaturas/30791/T4_04_sentencias_vhdl.pdf http://digital2.wikispaces.com/file/view/Dise%C3%B1o+jer%C3%A1rquico.pdf http://serdis.dis.ulpgc.es/~itis-dl/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf file:///D:/Users/JESS~1/AppData/Local/Temp/Rar$EX00.703/paragrupo/paquete%20y%20blibliote s%20deficnicion/Cap%C3%ADtulo%207%20-%20PAQUETES%20Y%20BIBLIOTECAS.htm ftp://ftp.ehu.es/cidira/dptos/depjt/Microelectronica/VHDL/ManualVHDL-SEC-UPM.pdf http://serdis.dis.ulpgc.es/~itis-/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf http://digital2.wikispaces.com/file/view/Dise%C3%B1o+jer%C3%A1rquico.pdf http://serdis.dis.ulpgc.es/~itis-dl/Teoria%20VHDL/Lenguaje%20VHDL%20EUP%20Teruel.pdf file:///D:/Users/JESS~1/AppData/Local/Temp/Rar$EX00.703/paragrupo/paquete%20y%20blibliote s%20deficnicion/Cap%C3%ADtulo%207%20-%20PAQUETES%20Y%20BIBLIOTECAS.htm
  • 11. VHDL esel acrónimoque representalacombinaciónde VHSIC y HDL, donde VHSIC es el acrónimo de Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de Hardware Description Language. Aunque puede ser usado de forma general para describir cualquier circuito 2. En qué año, el lenguaje VHDL se convierte en la norma IEEE-1076 En el año 1987 3. Escriba cuales son las formas de describir un circuito y hable de una de ellas  Funcional:  Flujode datos: describe asignacionesconcurrentes(enparalelo) de señales.  Estructural:.  Mixta: 4. ¿Para qué sirve una entidaden VHDL ? La entidad sirve para definir las entradas y salidas que tendrá un determinado circuito. 5. ¿Con que palabra reservada se identifican las entidades? Para definir una entidad se realizará mediante la palabra reservada ENTITY. 6. ¿Qué es una arquitectura en VHDL? La arquitectura es lo que define cómo se comporta un circuito. El nombre de la arquitectura se usará para indicarqué arquitecturase debe usar en caso que haya varias para una misma entidad 7. Hable sobre los tipos de datos en VHDL La sintaxisde VHDLesestrictacon respectoalostipos.Cualquierobjetoque se defina debe tener un tipo.En VHDL no existen tipos propios del lenguaje, pero existen los mecanismos para poder definircualquiertipo.Laslibreríasque se declaranal principiodel programaincluyenlostiposmás habituales. 8. hable sobre las constantes en VHDL Una constante esun elementoque se inicializaaundeterminadovalorque nopuede ser cambiado 9. hable sobre las variablesen VHDl
  • 12. Su valorpuede seralteradoencualquierinstante.Esposibleasignarleunvalorinicial:Lasvariables sólotiene sentidoenbloquesdonde laejecuciónesenserie:subprogramasy procesos(process). 10. Hable sobre las señalesenVHDL La señal no es un objeto del lenguaje que guarda un valor, sino que lo que hace es guardar un valor y hacerlo visible en el momento adecuado. Esto es, se puede decir que la señal tiene dos partes, una donde ese escribe y que almacena el valor, y otra que se lee y que no tiene por qué coincidir con lo que se acaba de escribir. 11.Escriba tres de las características de la sentencias concurrentes  Se ejecutanal mismotiempo(enparalelo).  Nohay prioridadentre unasyotras.  Describenparalelismo. 12.Escriba las características de las sentencias secuencial  Se ejecutanordenadamente,unadespuésde otra.  El ordende las sentenciasesrelevante.  Las sentenciasque se encuentrandentrode losprocesossonsecuenciales. 13.Hable sobre la sentenciaWHEN…ELSE Sentencia de selección múltiple. En hardware es necesario incluir todas las opciones posibles. En este caso es obligatorio siempre acabar la expresión con un ELSE. 14.Escriba la sintaxis de la sentencia IF IF <condición1>THEN [sentencias 1] ELSIF <condición2>THEN [sentencias 2] ELSE [sentencias N] END IF; 15.Verdadero y falso
  • 13. Descripción de flujo de datos es: Como la programación concurrente no siempre es la mejor forma de describir ideas, VHDL incorpora la programación serie, la cual se define en bloques indicados con la sentencia PROCESS. En un mismo diseño puede haber varios bloques de este tipo, cada uno de estos bloques corresponderá a una instrucción concurrente. Es decir, internamente la ejecución de las instrucciones de los PROCESS es serie, pero entre los bloques es concurrente (FALSO) 16.Complete Diseñojerárquico la programaciónde extensosdiseñosmediante launiónde pequeños bloques; es decir, un diseño jerárquicoagrupavarias entidadeselectrónicas,lascualesse puedenanalizarysimular de manera individualconfacilidad,para luego relacionarlas a través de un algoritmo de integración llamado Top Level 17.Hable sobre las bibliotecas y paquetes las bibliotecas (libraries) y los paquetes (packages) contenidos en ellas son elementos fundamentales en VHDL. En cualquier descripción es habitual hacer uso de una serie tipos, operadores,objetos,atributos,subprogramas,componentes endeterminadospaquetes,estándar o definidos por el propio usuario. Para ello es necesario hacer dichos paquetes visibles. Por ejemplo si en un diseño se utiliza el tipo text. 18.Enumere cuales son los tipos de datos escalares  Enteros  Flotantes  Físicos 19.Unir con línea
  • 14. Descripcióntextual normalizada El lenguaje VHDLposibilitaladescripción del hardware condistintosnivelesde abstracción,pudiendoadaptarse a distintospropósitosyutilizarseenlas sucesivasfasesque se danenel desarrollo de los diseños Amplio rango de capacidad descriptiva El lenguaje VHDL es un lenguaje de descripciónque especifica los circuitos electrónicos en un formato adecuado para ser interpretado tanto por máquinas como por personas 20.Escriba los pasos para crear un proyecto en quartus II LECCION #1
  • 15. 1. De lo explicadoenla exposiciónexplique consuspropias palabras que esVHDL 2. Escriba el nombre de 5 operadoresque usa Vhdl y escriba el concepto de una de ellos  & concatenación.Concatena cadenas  ** exponencial 4**2  <= :=  ABS() :devuelve el valorabsoluto  Multiplicación 3. Coloque Verdaderoy falso y explique surespuesta La señal noesun objetodel lenguaje que guardaunvalor (verdadero) Porque:sinoque lo que hace es guardar unvalor y hacerlovisible en el momento adecuado. Esto es,se puede decirque laseñal tiene dospartes,unadonde ese escribe y que almacena el valor, y otra que se lee y que no tiene por qué coincidir con lo que se acaba de escribir. 4. Anote los puertos de una entidad  IN:  OUT:  INOUT:  BUFFER: 5. Escoja la palabra correcta y justifique porque Concurrente secuencial estructural jerárquico VHDL es un lenguaje Concurrente Porque:como consecuencianose seguiráel ordenen que estánescritas las instrucciones a la hora de ejecutar el código. De hecho, si hay dosinstrucciones, notiene porqué ejecutarse una antesque otra, puedenejecutarse alavez. .
  • 16. Fotos Foto #2 Fuente:grupode unidadIII Descripción:esperandoal Ing.Pazmiñopara despejardudassobre laexposición Foto #1 Fuente:grupode unidadIII Descripción:recolecciónde información Foto #3 Fuente:grupode unidad III Descripción:implementandolobásicode VHDL Foto #4 Fuente:grupode unidadIII Descripción:desestresandosedespuésde mucho esfuerzo
  • 17. Presentación 1) Presentaciónde lacarpeta 2) Entregade lostrípticosa lospresentes 3) Presentacióndel grupode laUNIDADIII 4) Comienzode laexposiciónacargo de losintegrantesdel grupo 5) Ejemploprácticode laexposición 6) Presentaciónadicional de materialdel trabajode ejecución 7) Finalizaciónde laexposición 8) Preguntasa cargo de lospresentes 9) Calificacióndel docente 10) Findel proceso