SlideShare una empresa de Scribd logo
1 de 10
INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO
PUERTO.
PRINCIPIOS ELECTRÓNICOS
LENGUAJE HDL
RUBI VERONICA CHIMAL CUXIN
NIELS ARANA CUEVAS
LENGUAJES DE DESCRIPCIÓN DEL HARDWARE
(HDL)
 Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad
de los diseños.
 Se puede hacer una analogía con los que se pueden llamar lenguajes de
descripción de software:
Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas
electrónicos discretos.
• Se utilizan en la fase de diseño
• Necesidad de Simulador lógico
– Herramienta necesaria para reproducir el comportamiento del sistema modelado
– Permite la verificación del sistema diseñado.
Lenguajes de descripción de hardware (HDLs).
Síntesis lógica
Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una
implementación tecnológica.
 El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de
realizar las descripciones con HDLs.
 No se pueden utilizar todas las construcciones de los lenguajes, y las que están
permitidas hay que utilizarlas adecuadamente.
 Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas
restricciones distintas, si bien, son muy parecidas en cada uno de ellos.
Ventajas del uso de HLDs
 El lenguaje es independiente de la tecnología:
– El mismo modelo puede ser sintetizado en librerías de distintos vendedores.
– Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra
tecnología es mucho más rápida.
– Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo
mínimo.
 Soportan tres estilos de descripción básicos:
– Descripción comportamental (behavioral)
– Descripción de flujo de datos (data-flow)
– Descripción estructural (estructural)
 Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño.
La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas,
permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con
un esfuerzo mucho menor que si se realizase en fases posteriores.
 Soporta modelos de tiempos síncronos y asíncronos.
 Posibilidad de implementar distintas técnicas de modelado digital (descripciones
de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y
ecuaciones Booleanas
 El lenguaje es público y "not propietary" (especialmente en el caso del VHDL).
INCONVENIENTES DEL USO DE HDLS
 Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar
como nueva metodología.
 Necesaria la adquisición de nuevas herramientas:
– Simuladores
– Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras
fases del
Diseño.
 El uso de estos lenguajes hace que involuntariamente se pierda un poco de control
sobre el aspecto físico del diseño, dándole una mayor importancia a la
funcionalidad de dicho diseño.
LENGUAJES DE DESCRIPCIÓN DE HARDWARE
 En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro
HDL, el UDI/L se utiliza exclusivamente en Japón.
 VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace
como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para
disponer de una herramienta estándar, independiente para la especificación
(modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE
lo adopta y estandariza.
 Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial
hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público
y el IEEE lo estandariza en 1995.
 SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para
describir y simular circuitos digitales. Se publicó en 1999.
VHDL: CARACTERÍSTICAS GENERALES
VHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de
alto nivel de propósito general (ADA en especial): de ellos hereda:
 Concepto de tipo de datos, con posibilidad de definir nuevos tipos →
facilita la descripción de circuitos con diversos niveles de abstracción.
 Sentencias de control de flujos (if, for while). Junto con la característica
anterior → potencia para desarrollar algoritmos.
 Capacidad de estructurar el código (subprogramas, funciones o
procedimiento), permite afrontar algoritmos complejos.
MODELO DEL HW
Modelo de tiempo: ciclo de simulación
¿Para qué sirve el VHDL?
SISTEMAS DIGITALES
PORTS: Puertos de una entidad
PORTS: Modos de un puerto
Una señal que es bidireccional, entrada/salida de la entidad.
El problema de la concurrencia del HW
Concurrencia: Una posible solución
CONCLUSION
El HDL es una herramienta para el diseño y documentación sobre los circuitos
integrados, es una de las principales características de este la capacidad de estos
para describir en distintos niveles de abstracción, estos, desde un punto de vista de
simulación y síntesis del circuito, como un algoritmo se refiere a la relación funcional
entre las entradas y salidas del circuito, sin hacer referencia a la realización final. Y
entrando a lógico y de compuertas este se expresa de forma muy parecida en un
lenguaje de programación de software, describía las relaciones entre las entradas
y salidas de un circuito, por lo cual podía utilizarse para simular diseños pero no
para sintetizarlos. Todo esto nos ayudó para la realización del circuito y podría ser
necesario en un futuro laboral.

Más contenido relacionado

La actualidad más candente

Paradigmas de Programación
Paradigmas de ProgramaciónParadigmas de Programación
Paradigmas de ProgramaciónJose Sanchez
 
Hannyer calero sanchez
Hannyer calero sanchezHannyer calero sanchez
Hannyer calero sanchezVAMPKLAIZ
 
Programación Orientada a Aspectos (POA)
Programación Orientada a Aspectos (POA)Programación Orientada a Aspectos (POA)
Programación Orientada a Aspectos (POA)Walter Javier Franck
 
Ici rtu-unidad 5 -tema 8
Ici rtu-unidad 5 -tema 8Ici rtu-unidad 5 -tema 8
Ici rtu-unidad 5 -tema 8Daniela Barbosa
 
Programacion
ProgramacionProgramacion
Programacioncace10
 
Programación de PLCs
Programación de PLCsProgramación de PLCs
Programación de PLCsUDO Monagas
 
Concepto de programacion laboratorio 2
Concepto de programacion laboratorio 2Concepto de programacion laboratorio 2
Concepto de programacion laboratorio 2Arcelia Viagra
 
PARADIGMA FUNCIONAL
PARADIGMA FUNCIONALPARADIGMA FUNCIONAL
PARADIGMA FUNCIONALFredy Olaya
 
Unidad 2 Introducción al diseño de los lenguajes de programacion
Unidad 2 Introducción al diseño de los lenguajes de programacionUnidad 2 Introducción al diseño de los lenguajes de programacion
Unidad 2 Introducción al diseño de los lenguajes de programacionLilia Velasco
 
Ici rtu-unidad 5- tema 8
Ici rtu-unidad 5- tema 8Ici rtu-unidad 5- tema 8
Ici rtu-unidad 5- tema 8Daniela Barbosa
 
algortimos, pseudocodigos y diagramas de flujo
algortimos, pseudocodigos y diagramas de flujoalgortimos, pseudocodigos y diagramas de flujo
algortimos, pseudocodigos y diagramas de flujoangie0202
 
Seudocodigos1
Seudocodigos1Seudocodigos1
Seudocodigos1jafp21
 
Programacion
ProgramacionProgramacion
ProgramacionVANETURA
 
Aplicacion de estandare de calidad en la construccion de un algoritmo
Aplicacion de estandare de calidad en la construccion de un algoritmoAplicacion de estandare de calidad en la construccion de un algoritmo
Aplicacion de estandare de calidad en la construccion de un algoritmoJonmar Rodiguez
 
Lenguajes lógicos definicion y funcion
Lenguajes lógicos definicion y funcionLenguajes lógicos definicion y funcion
Lenguajes lógicos definicion y funcionIsrael Castillo Cruz
 

La actualidad más candente (20)

Unidad 2
Unidad 2Unidad 2
Unidad 2
 
Paradigmas de Programación
Paradigmas de ProgramaciónParadigmas de Programación
Paradigmas de Programación
 
Hannyer calero sanchez
Hannyer calero sanchezHannyer calero sanchez
Hannyer calero sanchez
 
Programación Orientada a Aspectos (POA)
Programación Orientada a Aspectos (POA)Programación Orientada a Aspectos (POA)
Programación Orientada a Aspectos (POA)
 
Ici rtu-unidad 5 -tema 8
Ici rtu-unidad 5 -tema 8Ici rtu-unidad 5 -tema 8
Ici rtu-unidad 5 -tema 8
 
Programacion
ProgramacionProgramacion
Programacion
 
Programación de PLCs
Programación de PLCsProgramación de PLCs
Programación de PLCs
 
Concepto de programacion laboratorio 2
Concepto de programacion laboratorio 2Concepto de programacion laboratorio 2
Concepto de programacion laboratorio 2
 
PARADIGMA FUNCIONAL
PARADIGMA FUNCIONALPARADIGMA FUNCIONAL
PARADIGMA FUNCIONAL
 
Unidad 2 Introducción al diseño de los lenguajes de programacion
Unidad 2 Introducción al diseño de los lenguajes de programacionUnidad 2 Introducción al diseño de los lenguajes de programacion
Unidad 2 Introducción al diseño de los lenguajes de programacion
 
Ici rtu-unidad 5- tema 8
Ici rtu-unidad 5- tema 8Ici rtu-unidad 5- tema 8
Ici rtu-unidad 5- tema 8
 
algortimos, pseudocodigos y diagramas de flujo
algortimos, pseudocodigos y diagramas de flujoalgortimos, pseudocodigos y diagramas de flujo
algortimos, pseudocodigos y diagramas de flujo
 
Angie informatica!
Angie informatica!Angie informatica!
Angie informatica!
 
Programa informatico.tecnicas
Programa informatico.tecnicasPrograma informatico.tecnicas
Programa informatico.tecnicas
 
Seudocodigos1
Seudocodigos1Seudocodigos1
Seudocodigos1
 
Programacion
ProgramacionProgramacion
Programacion
 
Harold diaz 9 3 san felipe
Harold diaz 9 3 san felipeHarold diaz 9 3 san felipe
Harold diaz 9 3 san felipe
 
Paradigma de programación
Paradigma de programaciónParadigma de programación
Paradigma de programación
 
Aplicacion de estandare de calidad en la construccion de un algoritmo
Aplicacion de estandare de calidad en la construccion de un algoritmoAplicacion de estandare de calidad en la construccion de un algoritmo
Aplicacion de estandare de calidad en la construccion de un algoritmo
 
Lenguajes lógicos definicion y funcion
Lenguajes lógicos definicion y funcionLenguajes lógicos definicion y funcion
Lenguajes lógicos definicion y funcion
 

Destacado (6)

Informe tecnico convertidores unidad 3
Informe tecnico convertidores unidad 3Informe tecnico convertidores unidad 3
Informe tecnico convertidores unidad 3
 
Unidad 4 lenguajes hdl
Unidad 4 lenguajes hdlUnidad 4 lenguajes hdl
Unidad 4 lenguajes hdl
 
Convertidores analógicos
Convertidores analógicosConvertidores analógicos
Convertidores analógicos
 
Convertidores pead
Convertidores peadConvertidores pead
Convertidores pead
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Electrónica Analógica
Electrónica AnalógicaElectrónica Analógica
Electrónica Analógica
 

Similar a Unidad 4 lenguaje hdl pe RUBI

Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Herramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosHerramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosLuisf Muñoz
 
Lenguajes de programacion
Lenguajes de programacionLenguajes de programacion
Lenguajes de programacionJohan95
 
Tipos de lenguaje de programacion
Tipos de lenguaje de programacionTipos de lenguaje de programacion
Tipos de lenguaje de programacionLy Andre
 
Compilador e interpretador
Compilador e interpretadorCompilador e interpretador
Compilador e interpretadorFrancisco Leal
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senaNat Alia
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senadejuansi2
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senazeepulveediita20
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senazeepulveediita20
 

Similar a Unidad 4 lenguaje hdl pe RUBI (20)

Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Unidad3
Unidad3Unidad3
Unidad3
 
Herramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosHerramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidos
 
Electrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDLElectrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDL
 
Ensayo cohen
Ensayo cohenEnsayo cohen
Ensayo cohen
 
cap2.pdf
cap2.pdfcap2.pdf
cap2.pdf
 
Lenguajes de programacion
Lenguajes de programacionLenguajes de programacion
Lenguajes de programacion
 
Informe lpi
Informe lpiInforme lpi
Informe lpi
 
Tipos de lenguaje de programacion
Tipos de lenguaje de programacionTipos de lenguaje de programacion
Tipos de lenguaje de programacion
 
Compilador e interpretador
Compilador e interpretadorCompilador e interpretador
Compilador e interpretador
 
IDLconVHDL2010.pdf
IDLconVHDL2010.pdfIDLconVHDL2010.pdf
IDLconVHDL2010.pdf
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 
intro_VHDL.pdf
intro_VHDL.pdfintro_VHDL.pdf
intro_VHDL.pdf
 
Intro vhdl
Intro vhdlIntro vhdl
Intro vhdl
 
Software
SoftwareSoftware
Software
 

Más de Jhonatan Pat

Unidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CBUnidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CBJhonatan Pat
 
Unidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CBUnidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CBJhonatan Pat
 
Unidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CBUnidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CBJhonatan Pat
 
Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb) Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb) Jhonatan Pat
 
Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb) Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb) Jhonatan Pat
 
Unidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISCUnidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISCJhonatan Pat
 

Más de Jhonatan Pat (6)

Unidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CBUnidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CB
 
Unidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CBUnidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CB
 
Unidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CBUnidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CB
 
Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb) Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb)
 
Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb) Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb)
 
Unidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISCUnidad 4 lenguaje hdl pe ISC
Unidad 4 lenguaje hdl pe ISC
 

Último

Las redes sociales en el mercado digital
Las redes sociales en el mercado digitalLas redes sociales en el mercado digital
Las redes sociales en el mercado digitalNayaniJulietaRamosRa
 
Unidad V. Disoluciones quimica de las disoluciones
Unidad V. Disoluciones quimica de las disolucionesUnidad V. Disoluciones quimica de las disoluciones
Unidad V. Disoluciones quimica de las disolucioneschorantina325
 
Guia para el registro en el sitio slideshare.pdf
Guia para el registro en el sitio slideshare.pdfGuia para el registro en el sitio slideshare.pdf
Guia para el registro en el sitio slideshare.pdflauradbernals
 
PPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjj
PPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjjPPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjj
PPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjjNachisRamos
 
TIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdf
TIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdfTIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdf
TIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdfLUZMARIAAYALALOPEZ
 
02. Mr. Spencer (T.L. Sawn).pdf.libro de un señor
02. Mr. Spencer (T.L. Sawn).pdf.libro de un señor02. Mr. Spencer (T.L. Sawn).pdf.libro de un señor
02. Mr. Spencer (T.L. Sawn).pdf.libro de un señorkkte210207
 
12 Clasificacion de las Computadoras.pdf
12 Clasificacion de las Computadoras.pdf12 Clasificacion de las Computadoras.pdf
12 Clasificacion de las Computadoras.pdfedwinmelgarschlink2
 

Último (7)

Las redes sociales en el mercado digital
Las redes sociales en el mercado digitalLas redes sociales en el mercado digital
Las redes sociales en el mercado digital
 
Unidad V. Disoluciones quimica de las disoluciones
Unidad V. Disoluciones quimica de las disolucionesUnidad V. Disoluciones quimica de las disoluciones
Unidad V. Disoluciones quimica de las disoluciones
 
Guia para el registro en el sitio slideshare.pdf
Guia para el registro en el sitio slideshare.pdfGuia para el registro en el sitio slideshare.pdf
Guia para el registro en el sitio slideshare.pdf
 
PPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjj
PPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjjPPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjj
PPT-HISTORIA-6°-ABC.pptxjjjjjjjjjjjjjjjjjjjjjj
 
TIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdf
TIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdfTIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdf
TIPOS DE LA PSICOPATOLOGÍA DE LA PERCEPCIÓN.pdf
 
02. Mr. Spencer (T.L. Sawn).pdf.libro de un señor
02. Mr. Spencer (T.L. Sawn).pdf.libro de un señor02. Mr. Spencer (T.L. Sawn).pdf.libro de un señor
02. Mr. Spencer (T.L. Sawn).pdf.libro de un señor
 
12 Clasificacion de las Computadoras.pdf
12 Clasificacion de las Computadoras.pdf12 Clasificacion de las Computadoras.pdf
12 Clasificacion de las Computadoras.pdf
 

Unidad 4 lenguaje hdl pe RUBI

  • 1. INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO PUERTO. PRINCIPIOS ELECTRÓNICOS LENGUAJE HDL RUBI VERONICA CHIMAL CUXIN NIELS ARANA CUEVAS
  • 2. LENGUAJES DE DESCRIPCIÓN DEL HARDWARE (HDL)  Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad de los diseños.  Se puede hacer una analogía con los que se pueden llamar lenguajes de descripción de software: Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas electrónicos discretos. • Se utilizan en la fase de diseño • Necesidad de Simulador lógico – Herramienta necesaria para reproducir el comportamiento del sistema modelado – Permite la verificación del sistema diseñado. Lenguajes de descripción de hardware (HDLs). Síntesis lógica
  • 3. Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una implementación tecnológica.  El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de realizar las descripciones con HDLs.  No se pueden utilizar todas las construcciones de los lenguajes, y las que están permitidas hay que utilizarlas adecuadamente.  Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas restricciones distintas, si bien, son muy parecidas en cada uno de ellos.
  • 4. Ventajas del uso de HLDs  El lenguaje es independiente de la tecnología: – El mismo modelo puede ser sintetizado en librerías de distintos vendedores. – Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra tecnología es mucho más rápida. – Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo mínimo.  Soportan tres estilos de descripción básicos: – Descripción comportamental (behavioral) – Descripción de flujo de datos (data-flow) – Descripción estructural (estructural)  Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño. La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas, permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con un esfuerzo mucho menor que si se realizase en fases posteriores.  Soporta modelos de tiempos síncronos y asíncronos.  Posibilidad de implementar distintas técnicas de modelado digital (descripciones de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y ecuaciones Booleanas  El lenguaje es público y "not propietary" (especialmente en el caso del VHDL).
  • 5. INCONVENIENTES DEL USO DE HDLS  Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar como nueva metodología.  Necesaria la adquisición de nuevas herramientas: – Simuladores – Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras fases del Diseño.  El uso de estos lenguajes hace que involuntariamente se pierda un poco de control sobre el aspecto físico del diseño, dándole una mayor importancia a la funcionalidad de dicho diseño. LENGUAJES DE DESCRIPCIÓN DE HARDWARE  En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro HDL, el UDI/L se utiliza exclusivamente en Japón.  VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para disponer de una herramienta estándar, independiente para la especificación (modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE lo adopta y estandariza.  Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público y el IEEE lo estandariza en 1995.  SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para describir y simular circuitos digitales. Se publicó en 1999. VHDL: CARACTERÍSTICAS GENERALES VHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de alto nivel de propósito general (ADA en especial): de ellos hereda:  Concepto de tipo de datos, con posibilidad de definir nuevos tipos → facilita la descripción de circuitos con diversos niveles de abstracción.  Sentencias de control de flujos (if, for while). Junto con la característica anterior → potencia para desarrollar algoritmos.  Capacidad de estructurar el código (subprogramas, funciones o procedimiento), permite afrontar algoritmos complejos.
  • 6. MODELO DEL HW Modelo de tiempo: ciclo de simulación ¿Para qué sirve el VHDL?
  • 8. PORTS: Modos de un puerto Una señal que es bidireccional, entrada/salida de la entidad. El problema de la concurrencia del HW
  • 10. CONCLUSION El HDL es una herramienta para el diseño y documentación sobre los circuitos integrados, es una de las principales características de este la capacidad de estos para describir en distintos niveles de abstracción, estos, desde un punto de vista de simulación y síntesis del circuito, como un algoritmo se refiere a la relación funcional entre las entradas y salidas del circuito, sin hacer referencia a la realización final. Y entrando a lógico y de compuertas este se expresa de forma muy parecida en un lenguaje de programación de software, describía las relaciones entre las entradas y salidas de un circuito, por lo cual podía utilizarse para simular diseños pero no para sintetizarlos. Todo esto nos ayudó para la realización del circuito y podría ser necesario en un futuro laboral.