SlideShare una empresa de Scribd logo
1 de 10
INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO
PUERTO.
PRINCIPIOS ELECTRÓNICOS
LENGUAJE HDL
RUBI VERONICA CHIMAL CUXIN
NIELS ARANA CUEVAS
LENGUAJES DE DESCRIPCIÓN DEL HARDWARE
(HDL)
 Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad
de los diseños.
 Se puede hacer una analogía con los que se pueden llamar lenguajes de
descripción de software:
Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas
electrónicos discretos.
• Se utilizan en la fase de diseño
• Necesidad de Simulador lógico
– Herramienta necesaria para reproducir el comportamiento del sistema modelado
– Permite la verificación del sistema diseñado.
Lenguajes de descripción de hardware (HDLs).
Síntesis lógica
Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una
implementación tecnológica.
 El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de
realizar las descripciones con HDLs.
 No se pueden utilizar todas las construcciones de los lenguajes, y las que están
permitidas hay que utilizarlas adecuadamente.
 Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas
restricciones distintas, si bien, son muy parecidas en cada uno de ellos.
Ventajas del uso de HLDs
 El lenguaje es independiente de la tecnología:
– El mismo modelo puede ser sintetizado en librerías de distintos vendedores.
– Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra
tecnología es mucho más rápida.
– Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo
mínimo.
 Soportan tres estilos de descripción básicos:
– Descripción comportamental (behavioral)
– Descripción de flujo de datos (data-flow)
– Descripción estructural (estructural)
 Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño.
La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas,
permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con
un esfuerzo mucho menor que si se realizase en fases posteriores.
 Soporta modelos de tiempos síncronos y asíncronos.
 Posibilidad de implementar distintas técnicas de modelado digital (descripciones
de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y
ecuaciones Booleanas
 El lenguaje es público y "not propietary" (especialmente en el caso del VHDL).
INCONVENIENTES DEL USO DE HDLS
 Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar
como nueva metodología.
 Necesaria la adquisición de nuevas herramientas:
– Simuladores
– Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras
fases del
Diseño.
 El uso de estos lenguajes hace que involuntariamente se pierda un poco de control
sobre el aspecto físico del diseño, dándole una mayor importancia a la
funcionalidad de dicho diseño.
LENGUAJES DE DESCRIPCIÓN DE HARDWARE
 En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro
HDL, el UDI/L se utiliza exclusivamente en Japón.
 VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace
como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para
disponer de una herramienta estándar, independiente para la especificación
(modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE
lo adopta y estandariza.
 Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial
hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público
y el IEEE lo estandariza en 1995.
 SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para
describir y simular circuitos digitales. Se publicó en 1999.
VHDL: CARACTERÍSTICAS GENERALES
VHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de
alto nivel de propósito general (ADA en especial): de ellos hereda:
 Concepto de tipo de datos, con posibilidad de definir nuevos tipos →
facilita la descripción de circuitos con diversos niveles de abstracción.
 Sentencias de control de flujos (if, for while). Junto con la característica
anterior → potencia para desarrollar algoritmos.
 Capacidad de estructurar el código (subprogramas, funciones o
procedimiento), permite afrontar algoritmos complejos.
MODELO DEL HW
Modelo de tiempo: ciclo de simulación
¿Para qué sirve el VHDL?
SISTEMAS DIGITALES
PORTS: Puertos de una entidad
PORTS: Modos de un puerto
Una señal que es bidireccional, entrada/salida de la entidad.
El problema de la concurrencia del HW
Concurrencia: Una posible solución
CONCLUSION
El HDL es una herramienta para el diseño y documentación sobre los circuitos
integrados, es una de las principales características de este la capacidad de estos
para describir en distintos niveles de abstracción, estos, desde un punto de vista de
simulación y síntesis del circuito, como un algoritmo se refiere a la relación funcional
entre las entradas y salidas del circuito, sin hacer referencia a la realización final. Y
entrando a lógico y de compuertas este se expresa de forma muy parecida en un
lenguaje de programación de software, describía las relaciones entre las entradas
y salidas de un circuito, por lo cual podía utilizarse para simular diseños pero no
para sintetizarlos. Todo esto nos ayudó para la realización del circuito y podría ser
necesario en un futuro laboral.

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

Renderización en java
Renderización en javaRenderización en java
Renderización en java
 
Analizador léxico
Analizador léxicoAnalizador léxico
Analizador léxico
 
Examen De Estructura De Datos
Examen De Estructura De DatosExamen De Estructura De Datos
Examen De Estructura De Datos
 
Estructuras en c++
Estructuras en c++Estructuras en c++
Estructuras en c++
 
Método de Búsqueda Hash
Método de Búsqueda HashMétodo de Búsqueda Hash
Método de Búsqueda Hash
 
hashing y colisiones
hashing y colisioneshashing y colisiones
hashing y colisiones
 
39029313 libro-de-texto-para-la-materia-de-graficacion
39029313 libro-de-texto-para-la-materia-de-graficacion39029313 libro-de-texto-para-la-materia-de-graficacion
39029313 libro-de-texto-para-la-materia-de-graficacion
 
Fundamentos de BD - Unidad 4 diseño de bd relacional
Fundamentos de BD - Unidad 4 diseño de bd relacionalFundamentos de BD - Unidad 4 diseño de bd relacional
Fundamentos de BD - Unidad 4 diseño de bd relacional
 
Diagramas de-flujo-de-datos01
Diagramas de-flujo-de-datos01Diagramas de-flujo-de-datos01
Diagramas de-flujo-de-datos01
 
Automata Finito No Determinista
Automata Finito No DeterministaAutomata Finito No Determinista
Automata Finito No Determinista
 
Tutorial de JFLAP
Tutorial de JFLAPTutorial de JFLAP
Tutorial de JFLAP
 
Bibliotecas de C
Bibliotecas de CBibliotecas de C
Bibliotecas de C
 
Algoritmos y diagramacion clase 1
Algoritmos y diagramacion clase 1Algoritmos y diagramacion clase 1
Algoritmos y diagramacion clase 1
 
Modos de Direccionamiento
Modos de DireccionamientoModos de Direccionamiento
Modos de Direccionamiento
 
Funcion scanf
Funcion scanfFuncion scanf
Funcion scanf
 
Automatas y compiladores clase2
Automatas y compiladores clase2Automatas y compiladores clase2
Automatas y compiladores clase2
 
Manual de dfd
Manual de dfd Manual de dfd
Manual de dfd
 
Modelo Relacional
Modelo RelacionalModelo Relacional
Modelo Relacional
 
Tipos de datos abstractos
Tipos de datos abstractosTipos de datos abstractos
Tipos de datos abstractos
 
Alfabeto, Cadenas, Lenguajes, y Problemas
Alfabeto, Cadenas, Lenguajes, y ProblemasAlfabeto, Cadenas, Lenguajes, y Problemas
Alfabeto, Cadenas, Lenguajes, y Problemas
 

Similar a Unidad 4 lenguaje hdl pe ISC

Unidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JPUnidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JPJhonatan Pat
 
Electrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDLElectrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDLSANTIAGO PABLO ALBERTO
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwarelorena
 
Herramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosHerramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosLuisf Muñoz
 
Lenguajes de programacion
Lenguajes de programacionLenguajes de programacion
Lenguajes de programacionJohan95
 
Tipos de lenguaje de programacion
Tipos de lenguaje de programacionTipos de lenguaje de programacion
Tipos de lenguaje de programacionLy Andre
 
Paradigmas programacion
Paradigmas programacionParadigmas programacion
Paradigmas programacionLuis Peralta
 
Compilador e interpretador
Compilador e interpretadorCompilador e interpretador
Compilador e interpretadorFrancisco Leal
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senaNat Alia
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senadejuansi2
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senazeepulveediita20
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller senazeepulveediita20
 

Similar a Unidad 4 lenguaje hdl pe ISC (20)

Unidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JPUnidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JP
 
Electrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDLElectrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDL
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Unidad3
Unidad3Unidad3
Unidad3
 
Herramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosHerramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidos
 
Electrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDLElectrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDL
 
Ensayo cohen
Ensayo cohenEnsayo cohen
Ensayo cohen
 
cap2.pdf
cap2.pdfcap2.pdf
cap2.pdf
 
Lenguajes de programacion
Lenguajes de programacionLenguajes de programacion
Lenguajes de programacion
 
Informe lpi
Informe lpiInforme lpi
Informe lpi
 
Tipos de lenguaje de programacion
Tipos de lenguaje de programacionTipos de lenguaje de programacion
Tipos de lenguaje de programacion
 
Paradigmas programacion
Paradigmas programacionParadigmas programacion
Paradigmas programacion
 
Compilador e interpretador
Compilador e interpretadorCompilador e interpretador
Compilador e interpretador
 
IDLconVHDL2010.pdf
IDLconVHDL2010.pdfIDLconVHDL2010.pdf
IDLconVHDL2010.pdf
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 
Especialidad presentacion taller sena
Especialidad presentacion taller senaEspecialidad presentacion taller sena
Especialidad presentacion taller sena
 

Más de Jhonatan Pat

Unidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CBUnidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CBJhonatan Pat
 
Unidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CBUnidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CBJhonatan Pat
 
Unidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CBUnidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CBJhonatan Pat
 
Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb) Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb) Jhonatan Pat
 
Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb) Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb) Jhonatan Pat
 
Unidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBIUnidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBIJhonatan Pat
 

Más de Jhonatan Pat (6)

Unidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CBUnidad 5 isc j3 programacion en android CB
Unidad 5 isc j3 programacion en android CB
 
Unidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CBUnidad 4 isc j3 programacion concurrente CB
Unidad 4 isc j3 programacion concurrente CB
 
Unidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CBUnidad 3 isc j3 paquetes y librerias CB
Unidad 3 isc j3 paquetes y librerias CB
 
Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb) Unidad 2 isc j3 gui (cb)
Unidad 2 isc j3 gui (cb)
 
Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb) Unidad 1 isc j3 eventos (cb)
Unidad 1 isc j3 eventos (cb)
 
Unidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBIUnidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBI
 

Unidad 4 lenguaje hdl pe ISC

  • 1. INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO PUERTO. PRINCIPIOS ELECTRÓNICOS LENGUAJE HDL RUBI VERONICA CHIMAL CUXIN NIELS ARANA CUEVAS
  • 2. LENGUAJES DE DESCRIPCIÓN DEL HARDWARE (HDL)  Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad de los diseños.  Se puede hacer una analogía con los que se pueden llamar lenguajes de descripción de software: Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas electrónicos discretos. • Se utilizan en la fase de diseño • Necesidad de Simulador lógico – Herramienta necesaria para reproducir el comportamiento del sistema modelado – Permite la verificación del sistema diseñado. Lenguajes de descripción de hardware (HDLs). Síntesis lógica
  • 3. Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una implementación tecnológica.  El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de realizar las descripciones con HDLs.  No se pueden utilizar todas las construcciones de los lenguajes, y las que están permitidas hay que utilizarlas adecuadamente.  Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas restricciones distintas, si bien, son muy parecidas en cada uno de ellos.
  • 4. Ventajas del uso de HLDs  El lenguaje es independiente de la tecnología: – El mismo modelo puede ser sintetizado en librerías de distintos vendedores. – Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra tecnología es mucho más rápida. – Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo mínimo.  Soportan tres estilos de descripción básicos: – Descripción comportamental (behavioral) – Descripción de flujo de datos (data-flow) – Descripción estructural (estructural)  Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño. La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas, permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con un esfuerzo mucho menor que si se realizase en fases posteriores.  Soporta modelos de tiempos síncronos y asíncronos.  Posibilidad de implementar distintas técnicas de modelado digital (descripciones de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y ecuaciones Booleanas  El lenguaje es público y "not propietary" (especialmente en el caso del VHDL).
  • 5. INCONVENIENTES DEL USO DE HDLS  Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar como nueva metodología.  Necesaria la adquisición de nuevas herramientas: – Simuladores – Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras fases del Diseño.  El uso de estos lenguajes hace que involuntariamente se pierda un poco de control sobre el aspecto físico del diseño, dándole una mayor importancia a la funcionalidad de dicho diseño. LENGUAJES DE DESCRIPCIÓN DE HARDWARE  En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro HDL, el UDI/L se utiliza exclusivamente en Japón.  VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para disponer de una herramienta estándar, independiente para la especificación (modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE lo adopta y estandariza.  Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público y el IEEE lo estandariza en 1995.  SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para describir y simular circuitos digitales. Se publicó en 1999. VHDL: CARACTERÍSTICAS GENERALES VHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de alto nivel de propósito general (ADA en especial): de ellos hereda:  Concepto de tipo de datos, con posibilidad de definir nuevos tipos → facilita la descripción de circuitos con diversos niveles de abstracción.  Sentencias de control de flujos (if, for while). Junto con la característica anterior → potencia para desarrollar algoritmos.  Capacidad de estructurar el código (subprogramas, funciones o procedimiento), permite afrontar algoritmos complejos.
  • 6. MODELO DEL HW Modelo de tiempo: ciclo de simulación ¿Para qué sirve el VHDL?
  • 8. PORTS: Modos de un puerto Una señal que es bidireccional, entrada/salida de la entidad. El problema de la concurrencia del HW
  • 10. CONCLUSION El HDL es una herramienta para el diseño y documentación sobre los circuitos integrados, es una de las principales características de este la capacidad de estos para describir en distintos niveles de abstracción, estos, desde un punto de vista de simulación y síntesis del circuito, como un algoritmo se refiere a la relación funcional entre las entradas y salidas del circuito, sin hacer referencia a la realización final. Y entrando a lógico y de compuertas este se expresa de forma muy parecida en un lenguaje de programación de software, describía las relaciones entre las entradas y salidas de un circuito, por lo cual podía utilizarse para simular diseños pero no para sintetizarlos. Todo esto nos ayudó para la realización del circuito y podría ser necesario en un futuro laboral.