SlideShare una empresa de Scribd logo
1 de 12
PROGRAMACION EN EL LENGUAJE
VHDL
Es un lenguaje mediante el cual se puede
describir analizar y evaluar el comportamiento
de un sistema electrónico digital. Permite la
integración de sistemas digitales en un
dispositivo lógico programable (FPGA, GAL)
ESTRUCTURA DEL PROGRAMA
La estructura esta formada por unidades de
diseño compuesto por un conjunto de
declaraciones e instrucciones que definen,
describen, estructuran, analizan y evalúan el
comportamiento digital.
Existen 5 tipos de unidades de diseño en VHDL
Declaración de entidad.
Arquitectura
Configuración
Declaración del paquete
Cuerpo del paquete
ENTIDAD
Son los elementos electrónicos (puertas,
multiplexores, sumadores, Flip-Flops etc) que
forman de manera individual o en conjunto un
sistema digital.
DECLARACION DE LIBRERIAS
Se declara las funciones y operaciones que se van a usar.
Library ieee,
Que utiliza un paquete std_logic_1164.all el cual contiene las
funciones básicas que se utilizan en VHDL, entre ellas las
puertas lógicas. El acceso a la información se lo hará por
medio de la sentencia use. Ej
Si tenemos un circuito multiplicador X1, X0 y Y1,Y0 producen
una salida Z3, Z2, Z1, Z0. La declaración de la entidad se hace.
library ieee;
use ieee.std_logic_1164.all;
Entity multiplica is
port (X0,X1,Y0,Y1: in std_logic;
Z3,Z2,Z1,Z0: out std_logic);
End multiplica;
ARQUITECTURA
Se define como la estructura que describe el
funcionamiento de una entidad, y permitirá
desarrollar los procedimientos para que la
entidad cumpla con las condiciones de
funcionamiento deseadas.
Describa mediante if-then-else el funcionamiento de la puerta
Or a y b son entradas f1 salida.
1 -- Declaración funcional
2 library ieee;
3 use ieee.std_logic_1164. all;
4 entity com_or is
5 port ( a, b: in std_logic;
6 f1: out std_logic) ;
7 end com_or;
8 architecture funcional of com_or is
9 begin
10 process (a,b) begin
11 if (a = ‘0´ and b = ‘0') then
12 f1 <= ‘0' ;
13 else
14 f1 <= ' 1 ´;
15 end if;
16 end process;
17 end funcional;
Con base a la tabla de verdad y mediante la
declaración when-else describa el funcionamiento de
la puerta And.
Solución
1 --Algoritmo utilizando flujo de datos
2 library ieee;
3 use ieee.std_logic_1164.all;
4 entity com_and is
5 port ( a,b: in std_logic ;
6 f: out std_logic) ;
7 end com_and;
8 architecture compuerta of com_and is
9 begin
10 f <= '1' when (a = '1' and b = '1' ) else ‘0';
11 end compuerta;
Describa mediante ecuaciones booleanas el circuito
mostrado a continuación.
Solución
--Declaración mediante ecuaciones boolenas
library ieee;
use ieee.std_logic_1164.all;
entity ejemplo is
port ( a,b,c,d: in std_logic;
f: out std_logic) ;
end ejemplo;
architecture compuertas of ejemplo is
begin
f < = ( (a or b) xnor (c and d ) ;
end compuertas;
Declaración de entidades
Declaración de entidad de un circuito sumador
Entity sumador is
Port (A,B Cin: in bit;
SUMA, Cout: out bit);
end sumador;
Entidad
Sumador
Cin
A
B
Cout
SUMA
Declaración de entidades
Declaración de entidad de un circuito sumador si A y B fuera de
4 bits
Entity sumador_4bits is
Port (A,B: in bit_vector (3 downto 0);
Cin: in bit;
SUMA: out bit_vector (3 downto 0);
Cout: out bit);
end sumador_4bits;
Entidad
Sumador 4bits
Cin
A[3:0]
B[3:0]
Cout
SUMA [3:0]

Más contenido relacionado

La actualidad más candente (19)

Sesión11 - Paquetes (Oracle)
Sesión11 - Paquetes (Oracle)Sesión11 - Paquetes (Oracle)
Sesión11 - Paquetes (Oracle)
 
Sesion09 - Manejo de Excepciones (Oracle)
Sesion09 - Manejo de Excepciones (Oracle)Sesion09 - Manejo de Excepciones (Oracle)
Sesion09 - Manejo de Excepciones (Oracle)
 
Capitulo 4 intro
Capitulo 4 introCapitulo 4 intro
Capitulo 4 intro
 
Sql
SqlSql
Sql
 
Funciones
FuncionesFunciones
Funciones
 
Estructura del programa arduino
Estructura del programa arduinoEstructura del programa arduino
Estructura del programa arduino
 
Actividad 3 . funciones en php
Actividad 3 . funciones en phpActividad 3 . funciones en php
Actividad 3 . funciones en php
 
Cap I Plsql
Cap I PlsqlCap I Plsql
Cap I Plsql
 
Tarea sesion 14
Tarea sesion 14Tarea sesion 14
Tarea sesion 14
 
Operadores
OperadoresOperadores
Operadores
 
Elementos
ElementosElementos
Elementos
 
Guia para examen java
Guia para examen javaGuia para examen java
Guia para examen java
 
Indentificacion de funciones
Indentificacion de funcionesIndentificacion de funciones
Indentificacion de funciones
 
PLC: Lógica de escalera
PLC: Lógica de escaleraPLC: Lógica de escalera
PLC: Lógica de escalera
 
PL SQL Diplomado Oracle
PL SQL Diplomado OraclePL SQL Diplomado Oracle
PL SQL Diplomado Oracle
 
Principios de Programacion
Principios de ProgramacionPrincipios de Programacion
Principios de Programacion
 
Funciones
FuncionesFunciones
Funciones
 
Javadoc
JavadocJavadoc
Javadoc
 
PL/SQL
PL/SQLPL/SQL
PL/SQL
 

Destacado

Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112frankj1
 
Masajes a-bebes
Masajes a-bebesMasajes a-bebes
Masajes a-bebeskrysthye
 
Medios de transportes 22
Medios de transportes 22Medios de transportes 22
Medios de transportes 22yoani22
 
Katalog Luftfilter-Vorabscheider
Katalog Luftfilter-VorabscheiderKatalog Luftfilter-Vorabscheider
Katalog Luftfilter-VorabscheiderRené Jörg
 
Planificacion deibis carpintero
Planificacion deibis carpinteroPlanificacion deibis carpintero
Planificacion deibis carpinteroDEIBISCARP
 
Vmes yacht engineering services
Vmes yacht engineering servicesVmes yacht engineering services
Vmes yacht engineering servicesAndrew Nguyen
 
Đầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt NamĐầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt NamKhiem Ly
 
Futurebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook CommerceFuturebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook CommerceFuturebiz
 
moving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in librariesmoving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in librarieschar booth
 
Holiday destinations
Holiday destinationsHoliday destinations
Holiday destinationsAlicia Garcia
 
POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017MIUR
 
Tramites observados sura
Tramites observados suraTramites observados sura
Tramites observados suraDiego Gebil
 
Nhgcat2011 12 updateopt
Nhgcat2011 12 updateoptNhgcat2011 12 updateopt
Nhgcat2011 12 updateoptlenloffler
 

Destacado (20)

(Digital) flujo de diseño y conceptos basicos
(Digital) flujo de diseño y conceptos basicos(Digital) flujo de diseño y conceptos basicos
(Digital) flujo de diseño y conceptos basicos
 
Sd3
Sd3Sd3
Sd3
 
Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112
 
Rae saul final
Rae saul finalRae saul final
Rae saul final
 
Masajes a-bebes
Masajes a-bebesMasajes a-bebes
Masajes a-bebes
 
Medios de transportes 22
Medios de transportes 22Medios de transportes 22
Medios de transportes 22
 
Katalog Luftfilter-Vorabscheider
Katalog Luftfilter-VorabscheiderKatalog Luftfilter-Vorabscheider
Katalog Luftfilter-Vorabscheider
 
Planificacion deibis carpintero
Planificacion deibis carpinteroPlanificacion deibis carpintero
Planificacion deibis carpintero
 
Vmes yacht engineering services
Vmes yacht engineering servicesVmes yacht engineering services
Vmes yacht engineering services
 
Đầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt NamĐầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt Nam
 
Futurebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook CommerceFuturebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook Commerce
 
China
ChinaChina
China
 
moving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in librariesmoving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in libraries
 
Ecoverde ingles-1
Ecoverde ingles-1Ecoverde ingles-1
Ecoverde ingles-1
 
Holiday destinations
Holiday destinationsHoliday destinations
Holiday destinations
 
POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017
 
2 preprocesado
2 preprocesado2 preprocesado
2 preprocesado
 
Tramites observados sura
Tramites observados suraTramites observados sura
Tramites observados sura
 
Neo Biotech Implant System Catalog
Neo Biotech Implant System CatalogNeo Biotech Implant System Catalog
Neo Biotech Implant System Catalog
 
Nhgcat2011 12 updateopt
Nhgcat2011 12 updateoptNhgcat2011 12 updateopt
Nhgcat2011 12 updateopt
 

Similar a Presentación1vhdl

Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...SANTIAGO PABLO ALBERTO
 
Informe tecnico u4 final
Informe tecnico u4 finalInforme tecnico u4 final
Informe tecnico u4 finalIrving Che
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificadorEver Omar Nolasco
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Alveiro2
 
Xilinx aprender abrirlo
Xilinx aprender abrirloXilinx aprender abrirlo
Xilinx aprender abrirloDuvan Rincon
 
PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...SANTIAGO PABLO ALBERTO
 
Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3ESANTIAGO PABLO ALBERTO
 
Programacion PLD
Programacion PLDProgramacion PLD
Programacion PLDdavic_exe
 
Manual basico arduino
Manual basico arduinoManual basico arduino
Manual basico arduinoKetoc2k3
 
Arduino: programación básica de Arduino
Arduino: programación básica de ArduinoArduino: programación básica de Arduino
Arduino: programación básica de ArduinoSANTIAGO PABLO ALBERTO
 
Manual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadorasManual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadorasDianichiiz VaLdiivia
 
Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2SANTIAGO PABLO ALBERTO
 
Presentacion power point digitales
Presentacion power point digitalesPresentacion power point digitales
Presentacion power point digitalescyber
 
2 curso de pic (saber electronica)
2 curso de pic (saber electronica)2 curso de pic (saber electronica)
2 curso de pic (saber electronica)Geovanny Ruiz
 
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...SANTIAGO PABLO ALBERTO
 
REGISTRO DE BANDERAS
REGISTRO DE BANDERASREGISTRO DE BANDERAS
REGISTRO DE BANDERASFabian Rojas
 

Similar a Presentación1vhdl (20)

Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
 
Informe tecnico u4 final
Informe tecnico u4 finalInforme tecnico u4 final
Informe tecnico u4 final
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)
 
Xilinx aprender abrirlo
Xilinx aprender abrirloXilinx aprender abrirlo
Xilinx aprender abrirlo
 
7_vhdl.pdf
7_vhdl.pdf7_vhdl.pdf
7_vhdl.pdf
 
PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...
 
Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3E
 
Programacion PLD
Programacion PLDProgramacion PLD
Programacion PLD
 
Manual basico arduino
Manual basico arduinoManual basico arduino
Manual basico arduino
 
Plc1
Plc1Plc1
Plc1
 
Arduino: programación básica de Arduino
Arduino: programación básica de ArduinoArduino: programación básica de Arduino
Arduino: programación básica de Arduino
 
Manual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadorasManual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadoras
 
Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2
 
Presentacion power point digitales
Presentacion power point digitalesPresentacion power point digitales
Presentacion power point digitales
 
Unidad 4
Unidad 4Unidad 4
Unidad 4
 
Curso de-picnn
Curso de-picnnCurso de-picnn
Curso de-picnn
 
2 curso de pic (saber electronica)
2 curso de pic (saber electronica)2 curso de pic (saber electronica)
2 curso de pic (saber electronica)
 
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
 
REGISTRO DE BANDERAS
REGISTRO DE BANDERASREGISTRO DE BANDERAS
REGISTRO DE BANDERAS
 

Último

NARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFARO
NARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFARONARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFARO
NARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFAROJosé Luis Palma
 
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdfLA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdfNataliaMalky1
 
SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024
SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024
SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024gharce
 
Los Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la SostenibilidadLos Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la SostenibilidadJonathanCovena1
 
Tarea 5-Selección de herramientas digitales-Carol Eraso.pdf
Tarea 5-Selección de herramientas digitales-Carol Eraso.pdfTarea 5-Selección de herramientas digitales-Carol Eraso.pdf
Tarea 5-Selección de herramientas digitales-Carol Eraso.pdfCarol Andrea Eraso Guerrero
 
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdfTarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdfManuel Molina
 
CIENCIAS NATURALES 4 TO ambientes .docx
CIENCIAS NATURALES 4 TO  ambientes .docxCIENCIAS NATURALES 4 TO  ambientes .docx
CIENCIAS NATURALES 4 TO ambientes .docxAgustinaNuez21
 
ÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdf
ÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdfÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdf
ÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdfluisantoniocruzcorte1
 
Día de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialDía de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialpatriciaines1993
 
PPT_Formación integral y educación CRESE (1).pdf
PPT_Formación integral y educación CRESE (1).pdfPPT_Formación integral y educación CRESE (1).pdf
PPT_Formación integral y educación CRESE (1).pdfEDILIAGAMBOA
 
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdfOswaldoGonzalezCruz
 
Presentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptx
Presentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptxPresentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptx
Presentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptxYeseniaRivera50
 
Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024IES Vicent Andres Estelles
 
LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...
LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...
LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...JAVIER SOLIS NOYOLA
 
Mapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdfMapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdfvictorbeltuce
 
BIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdf
BIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdfBIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdf
BIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdfCESARMALAGA4
 

Último (20)

NARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFARO
NARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFARONARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFARO
NARRACIONES SOBRE LA VIDA DEL GENERAL ELOY ALFARO
 
Unidad 3 | Teorías de la Comunicación | MCDI
Unidad 3 | Teorías de la Comunicación | MCDIUnidad 3 | Teorías de la Comunicación | MCDI
Unidad 3 | Teorías de la Comunicación | MCDI
 
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdfLA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
 
SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024
SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024
SISTEMA INMUNE FISIOLOGIA MEDICA UNSL 2024
 
Los Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la SostenibilidadLos Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la Sostenibilidad
 
Tarea 5-Selección de herramientas digitales-Carol Eraso.pdf
Tarea 5-Selección de herramientas digitales-Carol Eraso.pdfTarea 5-Selección de herramientas digitales-Carol Eraso.pdf
Tarea 5-Selección de herramientas digitales-Carol Eraso.pdf
 
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdfTarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
 
CIENCIAS NATURALES 4 TO ambientes .docx
CIENCIAS NATURALES 4 TO  ambientes .docxCIENCIAS NATURALES 4 TO  ambientes .docx
CIENCIAS NATURALES 4 TO ambientes .docx
 
Earth Day Everyday 2024 54th anniversary
Earth Day Everyday 2024 54th anniversaryEarth Day Everyday 2024 54th anniversary
Earth Day Everyday 2024 54th anniversary
 
Sesión La luz brilla en la oscuridad.pdf
Sesión  La luz brilla en la oscuridad.pdfSesión  La luz brilla en la oscuridad.pdf
Sesión La luz brilla en la oscuridad.pdf
 
ÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdf
ÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdfÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdf
ÉTICA, NATURALEZA Y SOCIEDADES_3RO_3ER TRIMESTRE.pdf
 
Día de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialDía de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundial
 
PPT_Formación integral y educación CRESE (1).pdf
PPT_Formación integral y educación CRESE (1).pdfPPT_Formación integral y educación CRESE (1).pdf
PPT_Formación integral y educación CRESE (1).pdf
 
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
 
Presentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptx
Presentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptxPresentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptx
Presentación de Estrategias de Enseñanza-Aprendizaje Virtual.pptx
 
Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024
 
PPTX: La luz brilla en la oscuridad.pptx
PPTX: La luz brilla en la oscuridad.pptxPPTX: La luz brilla en la oscuridad.pptx
PPTX: La luz brilla en la oscuridad.pptx
 
LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...
LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...
LA ECUACIÓN DEL NÚMERO PI EN LOS JUEGOS OLÍMPICOS DE PARÍS. Por JAVIER SOLIS ...
 
Mapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdfMapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdf
 
BIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdf
BIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdfBIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdf
BIOLOGIA_banco de preguntas_editorial icfes examen de estado .pdf
 

Presentación1vhdl

  • 1. PROGRAMACION EN EL LENGUAJE VHDL Es un lenguaje mediante el cual se puede describir analizar y evaluar el comportamiento de un sistema electrónico digital. Permite la integración de sistemas digitales en un dispositivo lógico programable (FPGA, GAL)
  • 2. ESTRUCTURA DEL PROGRAMA La estructura esta formada por unidades de diseño compuesto por un conjunto de declaraciones e instrucciones que definen, describen, estructuran, analizan y evalúan el comportamiento digital. Existen 5 tipos de unidades de diseño en VHDL Declaración de entidad. Arquitectura Configuración Declaración del paquete Cuerpo del paquete
  • 3. ENTIDAD Son los elementos electrónicos (puertas, multiplexores, sumadores, Flip-Flops etc) que forman de manera individual o en conjunto un sistema digital.
  • 4. DECLARACION DE LIBRERIAS Se declara las funciones y operaciones que se van a usar. Library ieee, Que utiliza un paquete std_logic_1164.all el cual contiene las funciones básicas que se utilizan en VHDL, entre ellas las puertas lógicas. El acceso a la información se lo hará por medio de la sentencia use. Ej Si tenemos un circuito multiplicador X1, X0 y Y1,Y0 producen una salida Z3, Z2, Z1, Z0. La declaración de la entidad se hace. library ieee; use ieee.std_logic_1164.all; Entity multiplica is port (X0,X1,Y0,Y1: in std_logic; Z3,Z2,Z1,Z0: out std_logic); End multiplica;
  • 5. ARQUITECTURA Se define como la estructura que describe el funcionamiento de una entidad, y permitirá desarrollar los procedimientos para que la entidad cumpla con las condiciones de funcionamiento deseadas.
  • 6. Describa mediante if-then-else el funcionamiento de la puerta Or a y b son entradas f1 salida. 1 -- Declaración funcional 2 library ieee; 3 use ieee.std_logic_1164. all; 4 entity com_or is 5 port ( a, b: in std_logic; 6 f1: out std_logic) ; 7 end com_or; 8 architecture funcional of com_or is 9 begin 10 process (a,b) begin 11 if (a = ‘0´ and b = ‘0') then 12 f1 <= ‘0' ; 13 else 14 f1 <= ' 1 ´; 15 end if; 16 end process; 17 end funcional;
  • 7. Con base a la tabla de verdad y mediante la declaración when-else describa el funcionamiento de la puerta And. Solución 1 --Algoritmo utilizando flujo de datos 2 library ieee; 3 use ieee.std_logic_1164.all; 4 entity com_and is 5 port ( a,b: in std_logic ; 6 f: out std_logic) ; 7 end com_and; 8 architecture compuerta of com_and is 9 begin 10 f <= '1' when (a = '1' and b = '1' ) else ‘0'; 11 end compuerta;
  • 8. Describa mediante ecuaciones booleanas el circuito mostrado a continuación. Solución --Declaración mediante ecuaciones boolenas library ieee; use ieee.std_logic_1164.all; entity ejemplo is port ( a,b,c,d: in std_logic; f: out std_logic) ; end ejemplo; architecture compuertas of ejemplo is begin f < = ( (a or b) xnor (c and d ) ; end compuertas;
  • 9.
  • 10.
  • 11. Declaración de entidades Declaración de entidad de un circuito sumador Entity sumador is Port (A,B Cin: in bit; SUMA, Cout: out bit); end sumador; Entidad Sumador Cin A B Cout SUMA
  • 12. Declaración de entidades Declaración de entidad de un circuito sumador si A y B fuera de 4 bits Entity sumador_4bits is Port (A,B: in bit_vector (3 downto 0); Cin: in bit; SUMA: out bit_vector (3 downto 0); Cout: out bit); end sumador_4bits; Entidad Sumador 4bits Cin A[3:0] B[3:0] Cout SUMA [3:0]