SlideShare una empresa de Scribd logo
1 de 5
Descargar para leer sin conexión
International Journal of Engineering Research and Development
ISSN: 2278-067X, Volume 1, Issue 1 (May 2012), PP. 37-41
www.ijerd.com

         Constraint Length Parametrizable Viterbi Decoder for
                         Convolutional Codes
                             P.Deepak Vamsi1, Mr. M.Murali Krishna2
           1
               PG Scholar, 2Assistant professor, Department of ECE, GIT, GITAM University, Vishakhapatnam,


Abstract— Convolutional codes are the widely used as Forward Error Correction (FEC) codes that are used in robust
digital communication system. The parameterized implementation of a Viterbi decoder is presented in this paper where
we can fix the constraint length for a code rate of 1 2. This improves the decoding performance in area, accuracy and
computational time. Viterbi algorithm is the widely employed in wireless communications to decode the convolutional
codes. The proposed architecture is can be reconfigured to decode with constraint length ranging from 3 to 7.The main
theme of this paper lie in the architecture of the computational blocks .In this paper, a generic, reconfigurable[2], low
power[8] and area efficient Viterbi decoder for software defined radio is described using a VERILOG code for FPGA
implementation. The proposed design of the Viterbi decoder is considered to be generic so that it facilitates the
prototyping of the decoder with different specifications. The proposed design is implemented on Xilinx Virtex5,
Xc5vlx30 FPGA using the FPGA Advantage Pro package provided by ISE 10.1 by Xilinx.

Keywords––FPGA; Constraint length ; Viterbi decoder.

                                                I. INTRODUCTION
     This paper deals with the implementation of convolutiuonal codes, a type of FEC (Forward Error Correction)
schemes that are widely used in the wireless communications. The various channel noise that are introduced in the
channels during transmission of the data, corrupts the data which is received at the receiver end. These FEC schemes are
used to reconstruct the original data from the corrupted data. The re-transmission of the data will lead to loss of power,
speed and mainly time. Hence we can get the original data from the corrupted data without any re-transmission of the
data again and again by using these FEC schemes.FEC schemes are classified into convolutional codes and block codes.
In block codes direct mapping is done between the data and the code symbols. In convolutional type the code symbols
are determined based on the current and the previous data by using the memory elements.

      In this paper we deal with convolutional codes where decoding is done using the viterbi algorithm. Viterbi algorithm
is the widely used decoding algorithms for the convolutional codes. Viterbi algorithm is based on the Maximum
likelihood algorithm. This method works on the principle of Hidden Markov’s model where the most probable sequence
of the hidden states is based on the given sequence of observed outputs. Constraint length of the code defines the no of
shifts in the convolutional encoder that can influence the output. The architecture implemented in this paper would
support this parameterization of the constraint length and is implemented on FPGA.

      Generations, like in Vertix-5 FPGAs by Xilinx, that are optimized for high-performance logic and DSP with low
power serial connectivity are brought up. Many sophisticated signal processing tasks are performed and can be
implemented on FPGA, including advanced compression algorithms, channel estimation, power control, forward error
control, synchronization, equalization, and protocol Management… etc .

     Channel coding is considered as one of the challenging signal-processing tasks in wireless communications. Most of
the digital communication systems use convolutional coding to compensate the Additive White Gaussian noise (AWGN)
and the effects of other data degradation like channel fading and quantization noise. Viterbi algorithm for convolutional
codes decoding has been found to be efficient and robust for the advantage that it has a fixed decoding time and it well
suited for hardware implementation.

      The complexity of the Viterbi decoder grows exponentially with the increase of the number of the states of the
convolutional encoder that relates to the constraint length. Hence better designs of the decoder have to be found to realize
convolutional decoder. The aim of any decoder realization is to reduce the area, reduce the power consumption or to
enhance the performance. While reducing the area can be gained by the advances reached in electronics, low power
designs [3] have to be developed especially because they are important issues for mobile and portable applications. This
paper proposes constraint length, re-configurable[2], low power architecture[3] for developing and modeling a generic
and configurable Viterbi decoder .The design is described using a VHDL code for the implementation on FPGA hence it
can be reconfigurable. It can also be fabricated as a low power Viterbi decoder on ASIC for either a base station or
portable unit. In this paper, we considered the design of our decoder to be generic so that it facilitates the prototyping of
the decoder with different specifications. The remainder of this paper is organized as follows: the following section
introduces a quick overview on the Viterbi algorithm followed by the architecture of the proposed Viterbi decoder and
the results.


                                                            37
Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes

                                            II. VITERBI ALGORITHM
     The Viterbi algorithm proposed by A.J. Viterbi is based on the maximum likelihood decoding algorithm. Viterbi
algorithm is implemented as per the trellis. The depth of the trellis is depending upon the constraint length and the code
rate of the encoder. It is well suited for decoding of convolutional codes. It finds a branch in the code trellis most likely
corresponds to the transmitted one. The depth of the trellis is equal to the constraint length K. The algorithm is based on
calculating the Hamming distance for every input and the expected output of each branch along the path that is most
likely through the trellis will maximize that metric. The complexity is reduced by finding the least path metric between
the branches entering the same node(choosing the least likely path) at each stage. The path metric that is least likely
(chosen) is known as the survivor, while the other paths entering the same node are non-survivor paths. If two or more
paths is having the best(least likely)path metric then the surviving path is chosen at random. The selection of survivor
paths is the main theory in the Viterbi algorithm and ensures that the algorithm terminates with the maximum likelihood
path [4]. The algorithm stops where all the nodes in the trellis have been processed and their survivor paths are
determined. At the final stage of the trellis after the survivor path of the nodes have been determined then trace-back
through the trellis is done. The trace back mechanism starts with selecting the node at the final stage of the trellis that has
the least path metric weight. At any given node, we can only continue backward on a path that survived upon entry into
that node. Our trace back mechanism yields a unique path since each node has only one entering survivor .
The outptut of the trace back unit is the decode output i.e the message signal. This path is the maximum likelihood
estimate that predicts the most likely transmitted sequence. The maximum likelihood equation [3] is given by:

                                            𝐴                   𝐴
                                      𝑃           = max 𝑃            𝑜𝑣𝑒𝑟 𝑎𝑙𝑙 𝐾 𝑚 (1)
                                          𝐾 𝑚’                𝐾 𝑚
A: is the received sequence
K (m): one of the possible transmitted sequences, and chooses the maximum.

      Coding schemes like GPRS, EDGE and WiMAX uses various coding schemes for wireless packet data network to
maximize the channel capaxity. GPRS uses a constraint length 7 and rate 1/2 Viterbi decoder, while EDGE uses a
constraint length 7 and rate 1/3 with both tail biting Viterbi on the header portion and zero tail on data portion . WiMAX
802.16e, has the Viterbi with constraint length 7 and rate ½ with tail biting as mandatory and zero tail as optional. This
paper focuses on the parametrization of constraint length ,high speed and low power Viterbi decoder with constraint
length K = 7 and code rate r = ½.The trace-back depth depends mainly on the memory management of the algorithm. The
longer the trace-back depth the larger the trellis will grow, and the larger the memory requirements. There is a trade off
that if the trace-back depth is also made too short; the performance of the codes will be affected.

                          III. ARCHITECTURE OF THE VITERBI DECODER
      The decoder architecture is implemented according to the the trellis diagram. This paper is implemented based on
the hard decision decoding. Viterbi decoder architecture [1] is mainly depending on the organizing the memory with
computational units like the ACS, BMG, and mainly on the Trace Back mechanism that is being adopted in the design.
The decoder architecture is implemented according to the trellis diagram. The inputs to the receiver are a continuous
stream of analog, modulated signals. The primary tasks of the receiver are the recovery of the carrier and bit timing so
that the individual received data bits can be removed from the carrier and can be separated from one another in an
efficient manner, which is performed by the use of phase locked loops. The analog baseband signals are applied to the
A/D converter with quantizer to get a received bit stream. Then obtained bit stream is applied as the input to the Viterbi
decoder. In order to compute the branch metrics at any given point in time, the Viterbi decoder must be able to segment
the received bit stream into n-bit blocks, each block corresponding to a stage in the trellis. In this paper, we assume that
the input to our proposed design is an identified code symbols, (i.e. binary data) the design decodes successive binary bit
stream. The Viterbi decoder consists of some basic building blocks as illustrated in Fig.1.




                                            Fig.1 Viterbi decoder block diagram



A. The Branch Metric generator (BMG)
     Thy Branch Metric generator computes the hamming distance between the received input sequence and the expected
input sequence. In this paper Branch metric generator is based on a truth table containing the various bit metrics. The
truth table implementation [2] is chosen in order to reduce the memory elements in the design there by have less
area...Etc. The computer looks up the n-bit metrics associated with each branch and sums them to obtain the branch
metric. These results are passed to the path metric update and storage unit. Fig.2 shows the block diagram of the BMC.


                                                             38
Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes




                                                Fig.2 Branch metric Block

B. The Path Metric
     The path metric is the sum of the branch metric of the present input and the path metric of the previous iteration.
This takes the branch metrics computed by the BMG and computes the partial path metrics at each node in the trellis.
These Metrics are stored in the memory elements for making use of them in the next iterations and also to find the min
path metric at the last stage(iteration) of the trellis.

     The surviving path at each node is identified, and the information-sequence updating and storage unit. Since the
entire trellis is multiple images of the same simple element, an Add-Compare- Select may be assigned to each trellis
state[4].

C. Add-Compare-Select (ACS)
     ACS circuit is used repeatedly in the decoder for the purpose of the calculation of the new branch metrics and also
for updating the memory elements with least branch metrics. The no of times the ACS circuit used is depending on the
constraint length. As the constraint length increases the trellis stages increases hence the no of times the ACS used also
increases. Constraint length K= no of stages of the trellis = no of times the ACS is computed.

      The entire decoder can be based on one such ACS, resulting in a very slow, but low-cost, implementation. Hence to
enhance the speed of the decoder implemented in parallel [8]. A separate ACS circuit is dedicated to every element in
the trellis, resulting in a fast, massively parallel implementation. For a given code with rate 1/n and total memory𝑀, the
number of ACS required to decode a received sequence of length 𝐿 is 𝐿𝑥2𝑀[8]. In our implementation we combined both
the BMC and the ACS in one unit representing a single wing of each trellis butterfly and we refer to it in this paper as
ACS module as illustrated in Fig.3.




                                              Fig.3 Add/Compare select unit

D. Path Metric, branch metric update and Storage (MUS)
     This is responsible for keeping track of the information bits associated with the surviving paths designated by the
path metric updating and storage unit. There are two basic design approaches: Register Exchange and Trace Back. In
both techniques, a shift register is associated with every trellis node through out the decoding operation. Each state is
assigned a register which has a length equal to the frame length. The register exchange may offer high-speed operation
but it is not power efficient while the trace-back is complex but has the advantage of low power dissipation because the
switching activity of the registers is much lower than that of the register exchange. Since one of the major interests is the
low power design, the proposed decoder in this paper has been implemented using the trace-back approach. This feature
is very helpful in our design, since the trace-back module does not work until the end of each frame and hence we don’t
have to activate the trace-back module until the end of the frame and only for one clock cycle, by this feature, more
saving in dissipated power.

F. Parallel-to-Serial Interface (PtoS)
     P to S converter gets the information (decode sequence) from the trace back unit and gives the output serially. The
bottleneck of this approach is the P to S converter that limits the speed of this architecture.


                                                            39
Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes

                                         IV Architecture Implemented




                                             Fig.4 Architecture implemented

      Fig.4 shows the architecture that has been implemented in the paper. The implementation of this mainly
concentrates on parameterization of the constraint length K through which the trace back is processed. The architectural
changes [1] that are done in the memory organization and the low power efficient design [8] are been implemented to get
better results. The memory organization is made efficient by using the dual edge trigger PM registers for saving the path
metrics as each stage in the trellis is processed. The low power is achieved by just enabling the trace back only after the
final stages has been processed.

                                                    V. RESULTS
                               Table.1 Summary of architecture implemented in this paper
                                 Device Utilization Summary
                                 Logic Utilization    Used/Availabl Utilization
                                                      e
                                 Number of Slices     89/ 19200        1%
                                 Number of Slice 153/ 19200            1%
                                 Flip Flops
                                 Number of 4 input 153/ 19200          1%
                                 LUTs
                                 Number of bonded 54/ 220              4%
                                 IOBs




                                                           40
Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes

                                       Fig.5 RTL Schematic of implemented design




                             Fig.6 Simulation output of viterbi decoder with error in the input


                                                  VI. CONCLUSION
     In this paper, a design for generic, low-power, area efficient and re-configurable Viterbi decoder has been proposed
and its performance is evaluated on FPGA’s. Many sophisticated signal processing tasks are performed and can be
implemented on optimized FPGA’s. The main theme of the paper lies in the parameterized constraint length that can be
varied that can supports maximum constraint length of 7 for a code rate of ½ and the architectural imp0lementation i.e.
the use of dual edge triggered registers. The low power technique has been achieved by the implementation of the trace
back unit that does not work until the end of each frame and hence we don’t have to activate the trace-back module until
the end of the frame and only for one clock cycle, by this feature, more saving in dissipated power. The design has been
described by structural architecture VHDL, targeted on Xilinx Virtex5, Xc5vlx30 FPGA using ISE design suit 10.1 by
Xilinx. The maximum operating frequency is 125 MHz and the power consumed in 0.139 Watt that is found adequate to
our application. The power report is obtained from Xpower analyzer. The performance of various parameters like area,
power, speed…etc, on FPGA’s are recorded and are listed in the below tables.My future work includes the
implementation of the viterbi decoder for parameterized code rate.

                                                    REFERENCES
[1].   Man Guo, M. Omair Ahmad, M. N. S. Swamy, Chunyan Wang ―FPGA Design and Implementation of a Low-Power Systolic
       Array-Based Adaptive Viterbi Decoder‖, IEEE Transactions on Circuits and Systems —I: Regular papers, vol. 52, no. 2,
       February 2005.
[2].    Behzad Momahed heravi, ―Multi-rate Parameterized Viterbi Decoding for Partial Reconfiguration",ISBN: 1-9025-6013-9
       ©2006 PGNet.
[3].   Sherif Welsen Shaker, Salwa HussienElramly, Khaled Ali Shehata, ―FPGA Implementation of a Configurable Viterbi Decoder
       for Software radio Receiver‖, IEEE Transactions on Circuits and systems, IEEE,Sept 2009,pp.132-136.
[4].   Jinjin He, Huaping Liu, Zhongfeng Wang, ―A fast ACSU architecture for Viterbi decoder uses T-algorithm‖, Forty-Third
       Asilomar Conference on signals, Systems and Computers, 2009, pp. 231-235.
[5].    Dong-Sun Kim D.S., Seung-Yerl Lee, S.Y., Kyu-Yeul Wang, K.Y., Jong- Hee Hwang, J.H., Duck-Jin Chung, D.J., ―Power
       Efficient Viterbi Decoder based on Pre-computation Technique for Portable Digital Multimedia Broadcasting Receiver‖, IEEE
       Transactions on Consumer Electronics, Vol. 53, Issue. 2, 2007, pp. 350-356.
[6].   Ying Li, Weijun Lu, Dunshan Yu, Xing Zhang, ―Design Technique of Viterbi Decoder in Satellite Communication‖, IET
       Conference on Wireless, Mobile and Sensor Networks, 2007, pp. 162-165.
[7].   Wei Shao, Brackenbury L., ―Pre-processing of convolutional codes for reducing decoding power consumption‖, IEEE
       International Conference on Acoustics, Speech and Signal Processing, 2008 , pp. 2957 – 2960.
[8].   Swathi gupta, Rajesh mehra,―Reconfigurable Efficient Design of Viterbi Decoder for Wireless Communication Systems‖,
       IJCSNS International Journal of Advanced Computer Science and Applications, Vol. 2, Issue. 7, 2011 .




                                                             41

Más contenido relacionado

La actualidad más candente

Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377
Editor IJARCET
 
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdmHybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdm
IAEME Publication
 

La actualidad más candente (18)

Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
 
252 256
252 256252 256
252 256
 
E42032732
E42032732E42032732
E42032732
 
Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377
 
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
 
Performance Improvement of IEEE 802.22 WRAN Physical Layer
Performance Improvement of IEEE 802.22 WRAN Physical LayerPerformance Improvement of IEEE 802.22 WRAN Physical Layer
Performance Improvement of IEEE 802.22 WRAN Physical Layer
 
40120140505011
4012014050501140120140505011
40120140505011
 
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdmHybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdm
 
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEMOPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
 
Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers  Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
 
Study of the operational SNR while constructing polar codes
Study of the operational SNR while constructing polar codes Study of the operational SNR while constructing polar codes
Study of the operational SNR while constructing polar codes
 
A new channel coding technique to approach the channel capacity
A new channel coding technique to approach the channel capacityA new channel coding technique to approach the channel capacity
A new channel coding technique to approach the channel capacity
 
Ff34970973
Ff34970973Ff34970973
Ff34970973
 
79 83
79 8379 83
79 83
 
20120130406009 2
20120130406009 220120130406009 2
20120130406009 2
 
Simulation of Turbo Convolutional Codes for Deep Space Mission
Simulation of Turbo Convolutional Codes for Deep Space MissionSimulation of Turbo Convolutional Codes for Deep Space Mission
Simulation of Turbo Convolutional Codes for Deep Space Mission
 
L16590531214
L16590531214L16590531214
L16590531214
 
Comparative study of_digital_modulation (1)
Comparative study of_digital_modulation (1)Comparative study of_digital_modulation (1)
Comparative study of_digital_modulation (1)
 

Destacado

HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERSHIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
Lalitha Gosukonda
 

Destacado (6)

Low complexity design of non binary ldpc decoder using extended min-sum algor...
Low complexity design of non binary ldpc decoder using extended min-sum algor...Low complexity design of non binary ldpc decoder using extended min-sum algor...
Low complexity design of non binary ldpc decoder using extended min-sum algor...
 
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERSHIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
 
Turbo code
Turbo codeTurbo code
Turbo code
 
Turbo codes.ppt
Turbo codes.pptTurbo codes.ppt
Turbo codes.ppt
 
A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...
 
Ece ppt[1]
Ece ppt[1]Ece ppt[1]
Ece ppt[1]
 

Similar a www.ijerd.com

Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...
International Journal of Reconfigurable and Embedded Systems
 

Similar a www.ijerd.com (20)

FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
 
Implementation of Viterbi Decoder on FPGA to Improve Design
Implementation of Viterbi Decoder on FPGA to Improve DesignImplementation of Viterbi Decoder on FPGA to Improve Design
Implementation of Viterbi Decoder on FPGA to Improve Design
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
AN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODERAN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODER
 
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier SystemsFPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
 
K0216571
K0216571K0216571
K0216571
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
 
Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...
 
E010224043
E010224043E010224043
E010224043
 
Performance Improvement of IEEE 802.22 WRAN Physical Layer
Performance Improvement of IEEE 802.22 WRAN Physical LayerPerformance Improvement of IEEE 802.22 WRAN Physical Layer
Performance Improvement of IEEE 802.22 WRAN Physical Layer
 
Performance Improvement of IEEE 802.22 WRAN Physical Layer
Performance Improvement of IEEE 802.22 WRAN Physical LayerPerformance Improvement of IEEE 802.22 WRAN Physical Layer
Performance Improvement of IEEE 802.22 WRAN Physical Layer
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
B0530714
B0530714B0530714
B0530714
 
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
 
Vlsi projects
Vlsi projectsVlsi projects
Vlsi projects
 
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
 
BER Performance for Convalutional Code with Soft & Hard Viterbi Decoding
BER Performance for Convalutional Code with Soft & Hard  Viterbi DecodingBER Performance for Convalutional Code with Soft & Hard  Viterbi Decoding
BER Performance for Convalutional Code with Soft & Hard Viterbi Decoding
 
72
7272
72
 
Hard Decision Viterbi Decoder: Implementation on FPGA and Comparison of Resou...
Hard Decision Viterbi Decoder: Implementation on FPGA and Comparison of Resou...Hard Decision Viterbi Decoder: Implementation on FPGA and Comparison of Resou...
Hard Decision Viterbi Decoder: Implementation on FPGA and Comparison of Resou...
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 

Más de IJERD Editor

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
IJERD Editor
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
IJERD Editor
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
IJERD Editor
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
IJERD Editor
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
IJERD Editor
 

Más de IJERD Editor (20)

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
 

Último

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
WSO2
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
panagenda
 

Último (20)

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistan
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
 
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
 
Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptx
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 

www.ijerd.com

  • 1. International Journal of Engineering Research and Development ISSN: 2278-067X, Volume 1, Issue 1 (May 2012), PP. 37-41 www.ijerd.com Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes P.Deepak Vamsi1, Mr. M.Murali Krishna2 1 PG Scholar, 2Assistant professor, Department of ECE, GIT, GITAM University, Vishakhapatnam, Abstract— Convolutional codes are the widely used as Forward Error Correction (FEC) codes that are used in robust digital communication system. The parameterized implementation of a Viterbi decoder is presented in this paper where we can fix the constraint length for a code rate of 1 2. This improves the decoding performance in area, accuracy and computational time. Viterbi algorithm is the widely employed in wireless communications to decode the convolutional codes. The proposed architecture is can be reconfigured to decode with constraint length ranging from 3 to 7.The main theme of this paper lie in the architecture of the computational blocks .In this paper, a generic, reconfigurable[2], low power[8] and area efficient Viterbi decoder for software defined radio is described using a VERILOG code for FPGA implementation. The proposed design of the Viterbi decoder is considered to be generic so that it facilitates the prototyping of the decoder with different specifications. The proposed design is implemented on Xilinx Virtex5, Xc5vlx30 FPGA using the FPGA Advantage Pro package provided by ISE 10.1 by Xilinx. Keywords––FPGA; Constraint length ; Viterbi decoder. I. INTRODUCTION This paper deals with the implementation of convolutiuonal codes, a type of FEC (Forward Error Correction) schemes that are widely used in the wireless communications. The various channel noise that are introduced in the channels during transmission of the data, corrupts the data which is received at the receiver end. These FEC schemes are used to reconstruct the original data from the corrupted data. The re-transmission of the data will lead to loss of power, speed and mainly time. Hence we can get the original data from the corrupted data without any re-transmission of the data again and again by using these FEC schemes.FEC schemes are classified into convolutional codes and block codes. In block codes direct mapping is done between the data and the code symbols. In convolutional type the code symbols are determined based on the current and the previous data by using the memory elements. In this paper we deal with convolutional codes where decoding is done using the viterbi algorithm. Viterbi algorithm is the widely used decoding algorithms for the convolutional codes. Viterbi algorithm is based on the Maximum likelihood algorithm. This method works on the principle of Hidden Markov’s model where the most probable sequence of the hidden states is based on the given sequence of observed outputs. Constraint length of the code defines the no of shifts in the convolutional encoder that can influence the output. The architecture implemented in this paper would support this parameterization of the constraint length and is implemented on FPGA. Generations, like in Vertix-5 FPGAs by Xilinx, that are optimized for high-performance logic and DSP with low power serial connectivity are brought up. Many sophisticated signal processing tasks are performed and can be implemented on FPGA, including advanced compression algorithms, channel estimation, power control, forward error control, synchronization, equalization, and protocol Management… etc . Channel coding is considered as one of the challenging signal-processing tasks in wireless communications. Most of the digital communication systems use convolutional coding to compensate the Additive White Gaussian noise (AWGN) and the effects of other data degradation like channel fading and quantization noise. Viterbi algorithm for convolutional codes decoding has been found to be efficient and robust for the advantage that it has a fixed decoding time and it well suited for hardware implementation. The complexity of the Viterbi decoder grows exponentially with the increase of the number of the states of the convolutional encoder that relates to the constraint length. Hence better designs of the decoder have to be found to realize convolutional decoder. The aim of any decoder realization is to reduce the area, reduce the power consumption or to enhance the performance. While reducing the area can be gained by the advances reached in electronics, low power designs [3] have to be developed especially because they are important issues for mobile and portable applications. This paper proposes constraint length, re-configurable[2], low power architecture[3] for developing and modeling a generic and configurable Viterbi decoder .The design is described using a VHDL code for the implementation on FPGA hence it can be reconfigurable. It can also be fabricated as a low power Viterbi decoder on ASIC for either a base station or portable unit. In this paper, we considered the design of our decoder to be generic so that it facilitates the prototyping of the decoder with different specifications. The remainder of this paper is organized as follows: the following section introduces a quick overview on the Viterbi algorithm followed by the architecture of the proposed Viterbi decoder and the results. 37
  • 2. Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes II. VITERBI ALGORITHM The Viterbi algorithm proposed by A.J. Viterbi is based on the maximum likelihood decoding algorithm. Viterbi algorithm is implemented as per the trellis. The depth of the trellis is depending upon the constraint length and the code rate of the encoder. It is well suited for decoding of convolutional codes. It finds a branch in the code trellis most likely corresponds to the transmitted one. The depth of the trellis is equal to the constraint length K. The algorithm is based on calculating the Hamming distance for every input and the expected output of each branch along the path that is most likely through the trellis will maximize that metric. The complexity is reduced by finding the least path metric between the branches entering the same node(choosing the least likely path) at each stage. The path metric that is least likely (chosen) is known as the survivor, while the other paths entering the same node are non-survivor paths. If two or more paths is having the best(least likely)path metric then the surviving path is chosen at random. The selection of survivor paths is the main theory in the Viterbi algorithm and ensures that the algorithm terminates with the maximum likelihood path [4]. The algorithm stops where all the nodes in the trellis have been processed and their survivor paths are determined. At the final stage of the trellis after the survivor path of the nodes have been determined then trace-back through the trellis is done. The trace back mechanism starts with selecting the node at the final stage of the trellis that has the least path metric weight. At any given node, we can only continue backward on a path that survived upon entry into that node. Our trace back mechanism yields a unique path since each node has only one entering survivor . The outptut of the trace back unit is the decode output i.e the message signal. This path is the maximum likelihood estimate that predicts the most likely transmitted sequence. The maximum likelihood equation [3] is given by: 𝐴 𝐴 𝑃 = max 𝑃 𝑜𝑣𝑒𝑟 𝑎𝑙𝑙 𝐾 𝑚 (1) 𝐾 𝑚’ 𝐾 𝑚 A: is the received sequence K (m): one of the possible transmitted sequences, and chooses the maximum. Coding schemes like GPRS, EDGE and WiMAX uses various coding schemes for wireless packet data network to maximize the channel capaxity. GPRS uses a constraint length 7 and rate 1/2 Viterbi decoder, while EDGE uses a constraint length 7 and rate 1/3 with both tail biting Viterbi on the header portion and zero tail on data portion . WiMAX 802.16e, has the Viterbi with constraint length 7 and rate ½ with tail biting as mandatory and zero tail as optional. This paper focuses on the parametrization of constraint length ,high speed and low power Viterbi decoder with constraint length K = 7 and code rate r = ½.The trace-back depth depends mainly on the memory management of the algorithm. The longer the trace-back depth the larger the trellis will grow, and the larger the memory requirements. There is a trade off that if the trace-back depth is also made too short; the performance of the codes will be affected. III. ARCHITECTURE OF THE VITERBI DECODER The decoder architecture is implemented according to the the trellis diagram. This paper is implemented based on the hard decision decoding. Viterbi decoder architecture [1] is mainly depending on the organizing the memory with computational units like the ACS, BMG, and mainly on the Trace Back mechanism that is being adopted in the design. The decoder architecture is implemented according to the trellis diagram. The inputs to the receiver are a continuous stream of analog, modulated signals. The primary tasks of the receiver are the recovery of the carrier and bit timing so that the individual received data bits can be removed from the carrier and can be separated from one another in an efficient manner, which is performed by the use of phase locked loops. The analog baseband signals are applied to the A/D converter with quantizer to get a received bit stream. Then obtained bit stream is applied as the input to the Viterbi decoder. In order to compute the branch metrics at any given point in time, the Viterbi decoder must be able to segment the received bit stream into n-bit blocks, each block corresponding to a stage in the trellis. In this paper, we assume that the input to our proposed design is an identified code symbols, (i.e. binary data) the design decodes successive binary bit stream. The Viterbi decoder consists of some basic building blocks as illustrated in Fig.1. Fig.1 Viterbi decoder block diagram A. The Branch Metric generator (BMG) Thy Branch Metric generator computes the hamming distance between the received input sequence and the expected input sequence. In this paper Branch metric generator is based on a truth table containing the various bit metrics. The truth table implementation [2] is chosen in order to reduce the memory elements in the design there by have less area...Etc. The computer looks up the n-bit metrics associated with each branch and sums them to obtain the branch metric. These results are passed to the path metric update and storage unit. Fig.2 shows the block diagram of the BMC. 38
  • 3. Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes Fig.2 Branch metric Block B. The Path Metric The path metric is the sum of the branch metric of the present input and the path metric of the previous iteration. This takes the branch metrics computed by the BMG and computes the partial path metrics at each node in the trellis. These Metrics are stored in the memory elements for making use of them in the next iterations and also to find the min path metric at the last stage(iteration) of the trellis. The surviving path at each node is identified, and the information-sequence updating and storage unit. Since the entire trellis is multiple images of the same simple element, an Add-Compare- Select may be assigned to each trellis state[4]. C. Add-Compare-Select (ACS) ACS circuit is used repeatedly in the decoder for the purpose of the calculation of the new branch metrics and also for updating the memory elements with least branch metrics. The no of times the ACS circuit used is depending on the constraint length. As the constraint length increases the trellis stages increases hence the no of times the ACS used also increases. Constraint length K= no of stages of the trellis = no of times the ACS is computed. The entire decoder can be based on one such ACS, resulting in a very slow, but low-cost, implementation. Hence to enhance the speed of the decoder implemented in parallel [8]. A separate ACS circuit is dedicated to every element in the trellis, resulting in a fast, massively parallel implementation. For a given code with rate 1/n and total memory𝑀, the number of ACS required to decode a received sequence of length 𝐿 is 𝐿𝑥2𝑀[8]. In our implementation we combined both the BMC and the ACS in one unit representing a single wing of each trellis butterfly and we refer to it in this paper as ACS module as illustrated in Fig.3. Fig.3 Add/Compare select unit D. Path Metric, branch metric update and Storage (MUS) This is responsible for keeping track of the information bits associated with the surviving paths designated by the path metric updating and storage unit. There are two basic design approaches: Register Exchange and Trace Back. In both techniques, a shift register is associated with every trellis node through out the decoding operation. Each state is assigned a register which has a length equal to the frame length. The register exchange may offer high-speed operation but it is not power efficient while the trace-back is complex but has the advantage of low power dissipation because the switching activity of the registers is much lower than that of the register exchange. Since one of the major interests is the low power design, the proposed decoder in this paper has been implemented using the trace-back approach. This feature is very helpful in our design, since the trace-back module does not work until the end of each frame and hence we don’t have to activate the trace-back module until the end of the frame and only for one clock cycle, by this feature, more saving in dissipated power. F. Parallel-to-Serial Interface (PtoS) P to S converter gets the information (decode sequence) from the trace back unit and gives the output serially. The bottleneck of this approach is the P to S converter that limits the speed of this architecture. 39
  • 4. Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes IV Architecture Implemented Fig.4 Architecture implemented Fig.4 shows the architecture that has been implemented in the paper. The implementation of this mainly concentrates on parameterization of the constraint length K through which the trace back is processed. The architectural changes [1] that are done in the memory organization and the low power efficient design [8] are been implemented to get better results. The memory organization is made efficient by using the dual edge trigger PM registers for saving the path metrics as each stage in the trellis is processed. The low power is achieved by just enabling the trace back only after the final stages has been processed. V. RESULTS Table.1 Summary of architecture implemented in this paper Device Utilization Summary Logic Utilization Used/Availabl Utilization e Number of Slices 89/ 19200 1% Number of Slice 153/ 19200 1% Flip Flops Number of 4 input 153/ 19200 1% LUTs Number of bonded 54/ 220 4% IOBs 40
  • 5. Constraint Length Parametrizable Viterbi Decoder for Convolutional Codes Fig.5 RTL Schematic of implemented design Fig.6 Simulation output of viterbi decoder with error in the input VI. CONCLUSION In this paper, a design for generic, low-power, area efficient and re-configurable Viterbi decoder has been proposed and its performance is evaluated on FPGA’s. Many sophisticated signal processing tasks are performed and can be implemented on optimized FPGA’s. The main theme of the paper lies in the parameterized constraint length that can be varied that can supports maximum constraint length of 7 for a code rate of ½ and the architectural imp0lementation i.e. the use of dual edge triggered registers. The low power technique has been achieved by the implementation of the trace back unit that does not work until the end of each frame and hence we don’t have to activate the trace-back module until the end of the frame and only for one clock cycle, by this feature, more saving in dissipated power. The design has been described by structural architecture VHDL, targeted on Xilinx Virtex5, Xc5vlx30 FPGA using ISE design suit 10.1 by Xilinx. The maximum operating frequency is 125 MHz and the power consumed in 0.139 Watt that is found adequate to our application. The power report is obtained from Xpower analyzer. The performance of various parameters like area, power, speed…etc, on FPGA’s are recorded and are listed in the below tables.My future work includes the implementation of the viterbi decoder for parameterized code rate. REFERENCES [1]. Man Guo, M. Omair Ahmad, M. N. S. Swamy, Chunyan Wang ―FPGA Design and Implementation of a Low-Power Systolic Array-Based Adaptive Viterbi Decoder‖, IEEE Transactions on Circuits and Systems —I: Regular papers, vol. 52, no. 2, February 2005. [2]. Behzad Momahed heravi, ―Multi-rate Parameterized Viterbi Decoding for Partial Reconfiguration",ISBN: 1-9025-6013-9 ©2006 PGNet. [3]. Sherif Welsen Shaker, Salwa HussienElramly, Khaled Ali Shehata, ―FPGA Implementation of a Configurable Viterbi Decoder for Software radio Receiver‖, IEEE Transactions on Circuits and systems, IEEE,Sept 2009,pp.132-136. [4]. Jinjin He, Huaping Liu, Zhongfeng Wang, ―A fast ACSU architecture for Viterbi decoder uses T-algorithm‖, Forty-Third Asilomar Conference on signals, Systems and Computers, 2009, pp. 231-235. [5]. Dong-Sun Kim D.S., Seung-Yerl Lee, S.Y., Kyu-Yeul Wang, K.Y., Jong- Hee Hwang, J.H., Duck-Jin Chung, D.J., ―Power Efficient Viterbi Decoder based on Pre-computation Technique for Portable Digital Multimedia Broadcasting Receiver‖, IEEE Transactions on Consumer Electronics, Vol. 53, Issue. 2, 2007, pp. 350-356. [6]. Ying Li, Weijun Lu, Dunshan Yu, Xing Zhang, ―Design Technique of Viterbi Decoder in Satellite Communication‖, IET Conference on Wireless, Mobile and Sensor Networks, 2007, pp. 162-165. [7]. Wei Shao, Brackenbury L., ―Pre-processing of convolutional codes for reducing decoding power consumption‖, IEEE International Conference on Acoustics, Speech and Signal Processing, 2008 , pp. 2957 – 2960. [8]. Swathi gupta, Rajesh mehra,―Reconfigurable Efficient Design of Viterbi Decoder for Wireless Communication Systems‖, IJCSNS International Journal of Advanced Computer Science and Applications, Vol. 2, Issue. 7, 2011 . 41