SlideShare una empresa de Scribd logo
1 de 12
Descargar para leer sin conexión
UNIVERSIDAD TECNOLÓGICA DE PUEBLA
Organismo Público Descentralizado del Gobierno del Estado de Puebla
Práctica #1
- EQUIPO –
Luis Manuel Rodríguez Bautista.
Iván Meléndez Elizalde.
Luis Alberto Vázquez Vicente.
Andy Castillo Mendieta.
- CARRERA –
Ingeniería Mecatrónica
- MATERIA –
Dispositivos Digitales Programables
- CUATRIMESTRE –
10°F
PROFESOR
Ramírez Barrientos Marco Antonio
- FECHA -
28 de octubre de 2016
1
Contenido
OBJETIVO............................................................................................................... 2
MARCO TEÓRICO.................................................................................................. 2
MATERIALES:......................................................................................................... 2
DESARROLLO DE LA PRÁCTICA ......................................................................... 3
PRACTICA 1.1: GAL EN VHDL........................................................................... 3
PRACTICA 1.2: FUNCIÓN BOOLEANA.............................................................. 8
CONCLUSIÓN ...................................................................................................... 10
REFERENCIAS..................................................................................................... 11
2
OBJETIVO.
Realizar y crear un sistema de programación VHDL, con el circuito GAL, que
desarrolle diferentes tareas específicas dependiendo las condiciones dada en cada
práctica.
MARCO TEÓRICO.
GAL (Generic Array Logic), en español Arreglo Lógico Genérico, son un tipo de
circuito integrado, de marca registrada por Lattice Semiconductor, que ha sido
diseñados con el propósito de sustituir a la mayoría de las PAL, manteniendo la
compatibilidad de sus terminales.
Utiliza una matriz de memoria EEPROM en lugar por lo que se puede programar
varias veces. Un GAL en su forma básica es un PLD con una matriz AND
reprogramable, una matriz OR fija y una lógica de salida programable mediante una
macrocelda. Esta estructura permite implementar cualquier función lógica como
suma de productos con un número de términos definido.
En los PLDs no reprogramables la síntesis de las ecuaciones lógicas se realiza
mediante quema de fusibles en cada punto de intersección de los pines de entrada
con las compuertas. En el caso de un GAL es básicamente la misma idea, pero en
vez de estar formada por una red de conductores ordenados en filas y columnas en
las que en cada punto de intersección hay un fusible, el fusible se reemplaza por
una celda CMOS eléctricamente borrable (EECMOS). Mediante la programación se
activa o desactiva cada celda EECMOS y se puede aplicar cualquier combinación
de variables de entrada, o sus complementos, a una compuerta AND para generar
cualquier operación producto que se desee. Una celda activada conecta su
correspondiente intersección de fila y columna, y una celda desactivada desconecta
la intersección. Las celdas se pueden borrar y reprogramar eléctricamente.
MATERIALES:
• GAL16V8D.
• Resistencias.
• Fuente de 5v.
• Leds.
• DipSwitch.
3
DESARROLLO DE LA PRÁCTICA
PRACTICA 1.1: GAL EN VHDL.
Generar un nuevo proyecto en ispLEVER classi y colocar un nombre al proyecto.
En la siguiente ventana elegir la opción “Show obsolete devices” y GAL16V8D y
finalizar.
4
Elegimos la opción “ new” y luego en ” New Sourse” seleccionamos “VHDL Module”
Se declaran las entradas y salidas.
Se abrirá la ventana Text Editor como se muestra en la siguiente figura
5
Como ejemplo de aplicación dentro de arquitectura escribir sal<= en y dar en
GUARDAR, para guardar los cambios;
Regresar a la ventana principal y del lado izquierdo legir el nombre del archivo
VHDL y del lado derecho dar click en synplify Synthesize VHDL File
Para crear el archivo JED de programación y el reporte de entradas y salidas del
chip se le da a “create fuse map” en donde se puede observar la asignación de los
pines de entrada y salida.
6
Para realizar la simulación del circuito en el lado izquierdo en GAL16V8D elegir la
New Source y Waveform Stimulus
}
En entrada dibujar la señal de excitación utilizando el ratón
Guardar y en la ventana principal en el archivo generado WDL dar doble click en
Functional Simulation
7
Finalmente se verán las señales generadas
En proteus realizar el siguiente circuito y en el chip AM16v8 dar click y buscar el
archivo .jed. Correr proteus y verificar la simulación.
8
PRACTICA 1.2: FUNCIÓN BOOLEANA.
Se desarrollará el álgebra booleana de la función (AB+AC+Anegada) como se
indica a continuación la tabla de verdad.
A B C X
0 0 0 1
0 0 1 1
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 1
1 1 1 1
Se realizará el código para el funcionamiento del circuito combinacional para que
cumplan con los parámetros ya calculados.
9
Después, se hará la simulación con el diagrama de tiempos para observar el
comportamiento de la programación.
Simulación en PROTEUS, con las conexiones correctas.
10
CONCLUSIÓN
En esta grafica se aprendió el proceso para el uso de este programa, el cual para
muchos era nuevo y no teníamos mucho conocimiento de esta plataforma, como
cualquier programa lo principal es el controlar salidas y con esta práctica sencilla
logramos entenderlo sin ningún problema.
En este circuito pusimos en práctica los enclavamientos, estos son bastante útiles
cuando se tiene que controlar procesos en base a condiciones, nos da la posibilidad
de evaluar la posición según a nosotros con convenga.
11
REFERENCIAS
José Ma. Angulo, 2006, Controladores Digitales de Señales, España,
PARANINFO.
David G Máxinez, 2005, VHDL El Arte del Programador, México, CEDSA.
Stephen Brown, 2006, Lógica digital con diseño, México, Mc Graw Hill

Más contenido relacionado

Destacado

Cloud computing using virtualization (Virtual Data Center)
Cloud computing using virtualization (Virtual Data Center)Cloud computing using virtualization (Virtual Data Center)
Cloud computing using virtualization (Virtual Data Center)Sarbjeet Singh
 
Optimising children's outcomes
Optimising children's outcomesOptimising children's outcomes
Optimising children's outcomesCamilla_Mahon
 
B&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaal
B&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaalB&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaal
B&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaalBouwmaterialen_Innovatie
 
FIRST - IEEE GOLD Volunteer Information Evening Nov 2013
FIRST - IEEE GOLD Volunteer Information Evening Nov 2013FIRST - IEEE GOLD Volunteer Information Evening Nov 2013
FIRST - IEEE GOLD Volunteer Information Evening Nov 2013IEEE SCV YP
 
Extended indigenous economics and climate 2013
Extended indigenous economics and climate 2013Extended indigenous economics and climate 2013
Extended indigenous economics and climate 2013Spencer Mann
 
Strategic Business Management presentation - Aug. 2014
Strategic Business Management presentation - Aug. 2014Strategic Business Management presentation - Aug. 2014
Strategic Business Management presentation - Aug. 2014Ryan Cole
 
Sistemas operativos
Sistemas operativosSistemas operativos
Sistemas operativosFabian Rojas
 
Ultrasonictransducer 120914042111-phpapp01
Ultrasonictransducer 120914042111-phpapp01Ultrasonictransducer 120914042111-phpapp01
Ultrasonictransducer 120914042111-phpapp01Ahmad Masood Khokhar
 

Destacado (12)

Cloud computing using virtualization (Virtual Data Center)
Cloud computing using virtualization (Virtual Data Center)Cloud computing using virtualization (Virtual Data Center)
Cloud computing using virtualization (Virtual Data Center)
 
2.2 resetting the australian table chooks august 2015
2.2 resetting the australian table chooks august 20152.2 resetting the australian table chooks august 2015
2.2 resetting the australian table chooks august 2015
 
Making stone soup: The many faces and eventual solutions to low health litera...
Making stone soup: The many faces and eventual solutions to low health litera...Making stone soup: The many faces and eventual solutions to low health litera...
Making stone soup: The many faces and eventual solutions to low health litera...
 
Optimising children's outcomes
Optimising children's outcomesOptimising children's outcomes
Optimising children's outcomes
 
B&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaal
B&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaalB&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaal
B&i2013 donderdag 13.45_zaal_c_symbiose tussen licht en materiaal
 
FIRST - IEEE GOLD Volunteer Information Evening Nov 2013
FIRST - IEEE GOLD Volunteer Information Evening Nov 2013FIRST - IEEE GOLD Volunteer Information Evening Nov 2013
FIRST - IEEE GOLD Volunteer Information Evening Nov 2013
 
Extended indigenous economics and climate 2013
Extended indigenous economics and climate 2013Extended indigenous economics and climate 2013
Extended indigenous economics and climate 2013
 
Strategic Business Management presentation - Aug. 2014
Strategic Business Management presentation - Aug. 2014Strategic Business Management presentation - Aug. 2014
Strategic Business Management presentation - Aug. 2014
 
Seo presentations
Seo presentationsSeo presentations
Seo presentations
 
Shauna downs human_health_and_nutrition_security_in_australia
Shauna downs human_health_and_nutrition_security_in_australiaShauna downs human_health_and_nutrition_security_in_australia
Shauna downs human_health_and_nutrition_security_in_australia
 
Sistemas operativos
Sistemas operativosSistemas operativos
Sistemas operativos
 
Ultrasonictransducer 120914042111-phpapp01
Ultrasonictransducer 120914042111-phpapp01Ultrasonictransducer 120914042111-phpapp01
Ultrasonictransducer 120914042111-phpapp01
 

Similar a Reporte práctica 1

Similar a Reporte práctica 1 (20)

Lab 6- UIII - DAQ-NI Arduino-con LM35.docx
Lab  6- UIII - DAQ-NI Arduino-con LM35.docxLab  6- UIII - DAQ-NI Arduino-con LM35.docx
Lab 6- UIII - DAQ-NI Arduino-con LM35.docx
 
DISPLAY 7 SEGMENTOS CIRCUITOS DIGITALES
DISPLAY 7 SEGMENTOS CIRCUITOS DIGITALESDISPLAY 7 SEGMENTOS CIRCUITOS DIGITALES
DISPLAY 7 SEGMENTOS CIRCUITOS DIGITALES
 
Microcontroladores: Construcción de un control de una cartelera digital para ...
Microcontroladores: Construcción de un control de una cartelera digital para ...Microcontroladores: Construcción de un control de una cartelera digital para ...
Microcontroladores: Construcción de un control de una cartelera digital para ...
 
Matriz de LEDs + Interfaz Grafica con GTK en Linux
Matriz de LEDs + Interfaz Grafica con GTK en LinuxMatriz de LEDs + Interfaz Grafica con GTK en Linux
Matriz de LEDs + Interfaz Grafica con GTK en Linux
 
Minisumo
MinisumoMinisumo
Minisumo
 
Manual circuitMaker
Manual circuitMakerManual circuitMaker
Manual circuitMaker
 
Curso-de-PLC.pdf
Curso-de-PLC.pdfCurso-de-PLC.pdf
Curso-de-PLC.pdf
 
CONTADOR BINARIO 8 BITS
CONTADOR BINARIO 8 BITSCONTADOR BINARIO 8 BITS
CONTADOR BINARIO 8 BITS
 
uControl Nº _ 01-.pdf
uControl Nº _ 01-.pdfuControl Nº _ 01-.pdf
uControl Nº _ 01-.pdf
 
uControl Nº _ 01-.pdf
uControl Nº _ 01-.pdfuControl Nº _ 01-.pdf
uControl Nº _ 01-.pdf
 
Ucontrol revista 0001
Ucontrol revista 0001Ucontrol revista 0001
Ucontrol revista 0001
 
U control 01
U control 01U control 01
U control 01
 
Semaforo cante
Semaforo canteSemaforo cante
Semaforo cante
 
Proyecto Técnico
Proyecto Técnico Proyecto Técnico
Proyecto Técnico
 
Fase5_10_ELECTRÓNICA DIGITAL
Fase5_10_ELECTRÓNICA DIGITALFase5_10_ELECTRÓNICA DIGITAL
Fase5_10_ELECTRÓNICA DIGITAL
 
0104 arquitectura-del-computador
0104 arquitectura-del-computador0104 arquitectura-del-computador
0104 arquitectura-del-computador
 
Tutorial cpl ds v1
Tutorial cpl ds v1Tutorial cpl ds v1
Tutorial cpl ds v1
 
239465162 informe-practica-modelamiento-ambiental
239465162 informe-practica-modelamiento-ambiental239465162 informe-practica-modelamiento-ambiental
239465162 informe-practica-modelamiento-ambiental
 
Netduino
NetduinoNetduino
Netduino
 
Ms guide esp
Ms guide espMs guide esp
Ms guide esp
 

Último

ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZgustavoiashalom
 
Ficha Tecnica de Ladrillos de Tabique de diferentes modelos
Ficha Tecnica de Ladrillos de Tabique de diferentes modelosFicha Tecnica de Ladrillos de Tabique de diferentes modelos
Ficha Tecnica de Ladrillos de Tabique de diferentes modelosRamiroCruzSalazar
 
analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)
analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)
analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)Ricardo705519
 
Aportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
Aportes a la Arquitectura de Le Corbusier y Mies Van der RoheAportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
Aportes a la Arquitectura de Le Corbusier y Mies Van der RoheElisaLen4
 
Elaboración de la estructura del ADN y ARN en papel.pdf
Elaboración de la estructura del ADN y ARN en papel.pdfElaboración de la estructura del ADN y ARN en papel.pdf
Elaboración de la estructura del ADN y ARN en papel.pdfKEVINYOICIAQUINOSORI
 
Maquinaria Agricola utilizada en la produccion de Piña.pdf
Maquinaria Agricola utilizada en la produccion de Piña.pdfMaquinaria Agricola utilizada en la produccion de Piña.pdf
Maquinaria Agricola utilizada en la produccion de Piña.pdfdanielJAlejosC
 
ingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptxingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptxjhorbycoralsanchez
 
Six Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo processSix Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo processbarom
 
UNIDAD II 2.pdf ingenieria civil lima upn
UNIDAD  II 2.pdf ingenieria civil lima upnUNIDAD  II 2.pdf ingenieria civil lima upn
UNIDAD II 2.pdf ingenieria civil lima upnDayronCernaYupanquiy
 
Lineamientos del Plan Oferta y Demanda sesión 5
Lineamientos del Plan Oferta y Demanda sesión 5Lineamientos del Plan Oferta y Demanda sesión 5
Lineamientos del Plan Oferta y Demanda sesión 5juanjoelaytegonzales2
 
PostgreSQL on Kubernetes Using GitOps and ArgoCD
PostgreSQL on Kubernetes Using GitOps and ArgoCDPostgreSQL on Kubernetes Using GitOps and ArgoCD
PostgreSQL on Kubernetes Using GitOps and ArgoCDEdith Puclla
 
APORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHT
APORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHTAPORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHT
APORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHTElisaLen4
 
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADOPERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADOFritz Rebaza Latoche
 
Controladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y VentajasControladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y Ventajasjuanprv
 
CALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSION
CALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSIONCALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSION
CALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSIONJuan Carlos Meza Molina
 
libro de ingeniería de petróleos y operaciones
libro de ingeniería de petróleos y operacioneslibro de ingeniería de petróleos y operaciones
libro de ingeniería de petróleos y operacionesRamon Bartolozzi
 
tesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa mariatesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa mariasusafy7
 
QUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERU
QUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERUQUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERU
QUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERUManuelSosa83
 
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICAINTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICAJOSLUISCALLATAENRIQU
 
CALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptxCALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptxCarlosGabriel96
 

Último (20)

ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
 
Ficha Tecnica de Ladrillos de Tabique de diferentes modelos
Ficha Tecnica de Ladrillos de Tabique de diferentes modelosFicha Tecnica de Ladrillos de Tabique de diferentes modelos
Ficha Tecnica de Ladrillos de Tabique de diferentes modelos
 
analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)
analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)
analisis tecnologico( diagnostico tecnologico, herramienta de toma de deciones)
 
Aportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
Aportes a la Arquitectura de Le Corbusier y Mies Van der RoheAportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
Aportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
 
Elaboración de la estructura del ADN y ARN en papel.pdf
Elaboración de la estructura del ADN y ARN en papel.pdfElaboración de la estructura del ADN y ARN en papel.pdf
Elaboración de la estructura del ADN y ARN en papel.pdf
 
Maquinaria Agricola utilizada en la produccion de Piña.pdf
Maquinaria Agricola utilizada en la produccion de Piña.pdfMaquinaria Agricola utilizada en la produccion de Piña.pdf
Maquinaria Agricola utilizada en la produccion de Piña.pdf
 
ingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptxingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptx
 
Six Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo processSix Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo process
 
UNIDAD II 2.pdf ingenieria civil lima upn
UNIDAD  II 2.pdf ingenieria civil lima upnUNIDAD  II 2.pdf ingenieria civil lima upn
UNIDAD II 2.pdf ingenieria civil lima upn
 
Lineamientos del Plan Oferta y Demanda sesión 5
Lineamientos del Plan Oferta y Demanda sesión 5Lineamientos del Plan Oferta y Demanda sesión 5
Lineamientos del Plan Oferta y Demanda sesión 5
 
PostgreSQL on Kubernetes Using GitOps and ArgoCD
PostgreSQL on Kubernetes Using GitOps and ArgoCDPostgreSQL on Kubernetes Using GitOps and ArgoCD
PostgreSQL on Kubernetes Using GitOps and ArgoCD
 
APORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHT
APORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHTAPORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHT
APORTES A LA ARQUITECTURA DE WALTER GROPIUS Y FRANK LLOYD WRIGHT
 
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADOPERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
 
Controladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y VentajasControladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y Ventajas
 
CALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSION
CALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSIONCALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSION
CALCULO SISTEMA DE PUESTA A TIERRA PARA BAJA TENSION Y MEDIA TENSION
 
libro de ingeniería de petróleos y operaciones
libro de ingeniería de petróleos y operacioneslibro de ingeniería de petróleos y operaciones
libro de ingeniería de petróleos y operaciones
 
tesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa mariatesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa maria
 
QUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERU
QUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERUQUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERU
QUIMICA GENERAL UNIVERSIDAD TECNOLOGICA DEL PERU
 
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICAINTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
 
CALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptxCALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptx
 

Reporte práctica 1

  • 1. UNIVERSIDAD TECNOLÓGICA DE PUEBLA Organismo Público Descentralizado del Gobierno del Estado de Puebla Práctica #1 - EQUIPO – Luis Manuel Rodríguez Bautista. Iván Meléndez Elizalde. Luis Alberto Vázquez Vicente. Andy Castillo Mendieta. - CARRERA – Ingeniería Mecatrónica - MATERIA – Dispositivos Digitales Programables - CUATRIMESTRE – 10°F PROFESOR Ramírez Barrientos Marco Antonio - FECHA - 28 de octubre de 2016
  • 2. 1 Contenido OBJETIVO............................................................................................................... 2 MARCO TEÓRICO.................................................................................................. 2 MATERIALES:......................................................................................................... 2 DESARROLLO DE LA PRÁCTICA ......................................................................... 3 PRACTICA 1.1: GAL EN VHDL........................................................................... 3 PRACTICA 1.2: FUNCIÓN BOOLEANA.............................................................. 8 CONCLUSIÓN ...................................................................................................... 10 REFERENCIAS..................................................................................................... 11
  • 3. 2 OBJETIVO. Realizar y crear un sistema de programación VHDL, con el circuito GAL, que desarrolle diferentes tareas específicas dependiendo las condiciones dada en cada práctica. MARCO TEÓRICO. GAL (Generic Array Logic), en español Arreglo Lógico Genérico, son un tipo de circuito integrado, de marca registrada por Lattice Semiconductor, que ha sido diseñados con el propósito de sustituir a la mayoría de las PAL, manteniendo la compatibilidad de sus terminales. Utiliza una matriz de memoria EEPROM en lugar por lo que se puede programar varias veces. Un GAL en su forma básica es un PLD con una matriz AND reprogramable, una matriz OR fija y una lógica de salida programable mediante una macrocelda. Esta estructura permite implementar cualquier función lógica como suma de productos con un número de términos definido. En los PLDs no reprogramables la síntesis de las ecuaciones lógicas se realiza mediante quema de fusibles en cada punto de intersección de los pines de entrada con las compuertas. En el caso de un GAL es básicamente la misma idea, pero en vez de estar formada por una red de conductores ordenados en filas y columnas en las que en cada punto de intersección hay un fusible, el fusible se reemplaza por una celda CMOS eléctricamente borrable (EECMOS). Mediante la programación se activa o desactiva cada celda EECMOS y se puede aplicar cualquier combinación de variables de entrada, o sus complementos, a una compuerta AND para generar cualquier operación producto que se desee. Una celda activada conecta su correspondiente intersección de fila y columna, y una celda desactivada desconecta la intersección. Las celdas se pueden borrar y reprogramar eléctricamente. MATERIALES: • GAL16V8D. • Resistencias. • Fuente de 5v. • Leds. • DipSwitch.
  • 4. 3 DESARROLLO DE LA PRÁCTICA PRACTICA 1.1: GAL EN VHDL. Generar un nuevo proyecto en ispLEVER classi y colocar un nombre al proyecto. En la siguiente ventana elegir la opción “Show obsolete devices” y GAL16V8D y finalizar.
  • 5. 4 Elegimos la opción “ new” y luego en ” New Sourse” seleccionamos “VHDL Module” Se declaran las entradas y salidas. Se abrirá la ventana Text Editor como se muestra en la siguiente figura
  • 6. 5 Como ejemplo de aplicación dentro de arquitectura escribir sal<= en y dar en GUARDAR, para guardar los cambios; Regresar a la ventana principal y del lado izquierdo legir el nombre del archivo VHDL y del lado derecho dar click en synplify Synthesize VHDL File Para crear el archivo JED de programación y el reporte de entradas y salidas del chip se le da a “create fuse map” en donde se puede observar la asignación de los pines de entrada y salida.
  • 7. 6 Para realizar la simulación del circuito en el lado izquierdo en GAL16V8D elegir la New Source y Waveform Stimulus } En entrada dibujar la señal de excitación utilizando el ratón Guardar y en la ventana principal en el archivo generado WDL dar doble click en Functional Simulation
  • 8. 7 Finalmente se verán las señales generadas En proteus realizar el siguiente circuito y en el chip AM16v8 dar click y buscar el archivo .jed. Correr proteus y verificar la simulación.
  • 9. 8 PRACTICA 1.2: FUNCIÓN BOOLEANA. Se desarrollará el álgebra booleana de la función (AB+AC+Anegada) como se indica a continuación la tabla de verdad. A B C X 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 Se realizará el código para el funcionamiento del circuito combinacional para que cumplan con los parámetros ya calculados.
  • 10. 9 Después, se hará la simulación con el diagrama de tiempos para observar el comportamiento de la programación. Simulación en PROTEUS, con las conexiones correctas.
  • 11. 10 CONCLUSIÓN En esta grafica se aprendió el proceso para el uso de este programa, el cual para muchos era nuevo y no teníamos mucho conocimiento de esta plataforma, como cualquier programa lo principal es el controlar salidas y con esta práctica sencilla logramos entenderlo sin ningún problema. En este circuito pusimos en práctica los enclavamientos, estos son bastante útiles cuando se tiene que controlar procesos en base a condiciones, nos da la posibilidad de evaluar la posición según a nosotros con convenga.
  • 12. 11 REFERENCIAS José Ma. Angulo, 2006, Controladores Digitales de Señales, España, PARANINFO. David G Máxinez, 2005, VHDL El Arte del Programador, México, CEDSA. Stephen Brown, 2006, Lógica digital con diseño, México, Mc Graw Hill