SlideShare una empresa de Scribd logo
1 de 38
Descargar para leer sin conexión
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------CONVERTIDORES DE ANALOGICO A DIGITAL
Un convertidor analógico / digital (ADC) toma el voltaje analógico de entrada y
después de un cierto tiempo genera un código digital de salida, que representa la
magnitud de esa entrada analógica. Este proceso, es más complejo que la conversión
digital-analógica, dado que se deben tener en cuenta varias consideraciones respecto a la
señal a convertir, como así también se requieren varias etapas de procesamiento para
lograrlo. En la conversión ADC se han desarrollado y empleado muchos métodos. Hoy
en día muchos de estos métodos, están disponibles como circuitos integrados en un
chips o formando parte de un sistema mas complejo, por ejemplo, un microcontrolador.
Para comprender la teoría y métodos que se emplean en la conversión analógica a
digital, debemos previamente conocer los principios del “teorema del muestreo”, el
“multiplexado y demultiplexado en el tiempo”, “la cuantificación” y la “codificación”
de señales, temas que abordaremos de manera simplificada.
Teorema del muestreo
Este teorema lo enunciaremos de la siguiente forma, sin demostrarlo: “ Si una señal
continua, S(t), en su análisis espectral, tiene una banda de frecuencias tal que fm sea la
mayor frecuencia dentro de esa banda, la señal S(t) podrá ser reconstruida sin distorsión,
a partir de muestras tomadas a una frecuencia fs, siendo fs ≥2. fm.
En la próxima figura, mostramos un esquema simplificado del proceso de muestreo de
una señal analógica o continua:
Interruptor electrónico
S(t): señal a muestrear
τ

Sτ(t): señal muestreada

Ts

δ(t)
Señal de muestreo que
controla al interruptor

τ : tiempo de muestreo
Ts: periodo de muestreo

δ(t)
t
S(t)
t
Sτ(t)

t

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

1
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------En la figura anterior vemos que S(t) es la señal analógica que se va a muestrear y esta
compuesta por una suma de señales (armónicas) de varias frecuencias, siendo “fm” la
frecuencia mayor. δ(t) es la señal que actúa sobre el interruptor electrónico y fija el
muestreo de la señal S(t) con una frecuencia “fs”(periodo Ts); el tiempo de muestreo
vale “τ”. A la salida del circuito de muestreo (interruptor) tenemos la señal muestreada
que la denominamos Sτ(t). Esta ultima señal, en su análisis espectral, se demuestra que
esta compuesta por una suma de varias señales de distintas frecuencias. Del conjunto de
esas frecuencias estarán las frecuencias originales de la señal a muestrear “S(t)” mas
señales que tienen frecuencias diferencias y sumas de las señales originales con “fs”
(fs-fm) y (fs+fm), 2fs””, etc. (son teóricamente infinitas señales). De todas ellas, la
mas próxima a fm es (fs-fm). Ahora bien, si quisiéramos reconstruir la señal original
S(t) de la señal muestreada Sτ(t), podemos hacerlo empleando un filtro pasa bajo, que
solamente deje pasar las frecuencias originales, hasta su valor máximo fm, y rechace
todas las frecuencias superior a este valor. La función de transferencia del filtro, tendrá
que ser como muestra la siguiente figura:

H(f)

Sτ(t)

fm

fs-fm

Filtro
Pasa bajo

S(t)

f

Como podemos observar en la figura, la función de transferencia del filtro, debe ser
plano hasta la frecuencia fm, y luego debe caer bruscamente a cero, por encima de este
valor, antes que alcance el valor fs-fm. Si hubiéramos muestreado con una frecuencia
2.fs < fm, la frecuencia de la componente de la señal muestreada de valor (fs-fm), seria
menor que fm , ( (fs-fm)< fm ), lo que haría imposible separarla con el filtro anterior.

Multiplexación y demultiplexación de señales
Mediante la aplicación del teorema del muestreo, se pueden transmitir varias señales en
el tiempo por un mismo canal de comunicación (canal de radiofrecuencia digital, dos
conductores eléctricos, fibra óptica) . Para lograrlo, es necesario muestrear varias
señales sucesivamente S1 S2….Sn y las señales muestreadas Sτ1, Sτ2…..Sτn, se las
envían por el canal de comunicación, intercaladas en el tiempo. A este sistema de
comunicación, se le denomina “multiplexación en el tiempo”. Al otro extremo del canal,
se deben separar las distintas señales muestreadas, que fueron enviadas, proceso
denominado “demultiplexación”, para luego pasarlas por un filtro pasa bajo y
reconstruir las señales originales.
La siguiente figura, nos muestra, en forma simplificada, el proceso de la multiplexación
y demultiplexación de señales eléctricas:

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

2
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
----------------------------------------------------------------------------------------------------

MUX

S1

Canal de
comunicación

S2

DEMUX

S1
S2

Sτ1, Sτ2…..Sτn

Sn

Señales para ser
enviadas por el
canal de
comunicación

Sn

Señales
transmitidas
por el canal de
comunicación

El sistema multiplexor y demultiplexor, están representados por conmutadores rotativos
sincronizados. En la práctica, son circuitos electrónicos similares a los presentados en la
materia ELECTRONICA I (subsistemas digitales combinacionales).
En este sistema de transmisión de señales, es imprescindible el perfecto sincronismo del
“emisor de señales” y el “receptor de señales”, ubicado en los extremos del canal de
comunicaciones.
Cuantificación y codificación
La cuantificación de una señal, consiste en convertir un intervalo de valores continuos
que puede tomar la señal, en un valor discreto. De esta manera la señal cuantificada
solamente tomara valores discretos o lo que es lo mismo variara a incrementos fijos.
Esto significa que dentro del intervalo considerado, los valores que puede tomar la señal
sin cuantificar, la señal cuantificada, solamente toma un solo valor. Esto significa, que
el proceso de cuantificación, la señal cuantificada, presenta un determinado error
respecto a la señal original, dado que la primera se modifica a incrementos finitos.
Esto debe ser así, dado que en el procedimiento de codificación, tenemos que limitar los
niveles de tensión de la señal, dada la cantidad limitada de códigos (estos códigos
dependerán de la cantidad de bits que se utilice).
En la práctica para cuantificar una señal, son necesarios dos procedimientos: El primero
consiste en muestrear la señal continua de la manera que se explico anteriormente,
obteniéndose una señal discreta en el tiempo, con variación continua de magnitud.
Luego esta señal debe mantenerse un cierto tiempo, dado que la cuantificación lleva un
cierto tiempo realizarla. El segundo procedimiento consiste en la cuantificación
propiamente dicha seguida de la codificación.
La codificación consiste en asignar un cierto código binario, con varios bits, al valor
cuantificado.
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

3
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------La función de transferencia de un cuantificador ideal puede ser la que representamos en
la siguiente grafica:
Vo

Vo

5
4
3

2
-2,5 -1,5 1

1,5 2,5 3,5

Vi

t

-1
-2
-3
-4
-5
Vi
Vi: señal continua a muestrear
y cuantificar
Vo: señal cuantificada

t
Para el caso planteado en el dibujo anterior tenemos en el cuantificador que los niveles 2,5, -1,5, 0, +1,5, 2,5 etc. son niveles de decisión. Por ejemplo cuando la señal continua
tiene valores de tensión comprendidos entre +1,5 y +2,5, el cuantificador asigna una
valor fijo de tensión de +2 voltios. Entre -3,5 y -2,5 se asigna el valor -3 voltios y así
sucesivamente con los otros valores intervalos de tensión. En gral los intervalos de
tensiones de decisión son constantes ∆V = cte. En otros casos ∆V varía según una ley
logarítmica, como en el caso de la compresión de la señal.
Error de cuantificación
Error

Vi

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

4
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Para el caso donde la diferencia entre niveles de decisión es constante ∆V= cte., el error
de cuantificación tiene forma de diente de sierra, como lo muestra la figura anterior.
El error de cuantificación será tanto mayor, cuanto mayor sea el desnivel de los
escalones de cuantificación. Por lo tanto para alcanzar un error menor, necesitamos
recurrir a un elevado número de niveles, con el consiguiente aumento del número de
bits del código digital de la magnitud codificada, con la consiguiente complejidad de los
circuitos.

Codificación de la señal cuantificada
La relación entre el tamaño del escalón “∆V”, los niveles de cuantificación “p” y la
tensión pico a pico de la señal a convertir “Vpp”, esta dada por:
Vpp = ∆V. p
En función de los niveles de cuantificación, dependerá la cantidad de bits de los códigos
que representan los niveles de tensión de la señal cuantificada. Se deberá cumplir lo
siguiente:
p ≤ 2 N siendo N, el numero de bits del código binario.
Resumiendo, para que una señal analógica pueda ser procesada por un sistema digital,
es necesario que la señal analógica pase por las fases de muestreo, cuantificación y
codificación.

Sistemas empleados en la conversión analógica / digital (ADC)
Los convertidores ADC son dispositivos electrónicos que establecen una relación
biunívoca entre el valor de la señal a convertir y el código (palabra) digital obtenido.
Esta relación se obtiene en la mayoría de los casos, con la ayuda de una tensión de
referencia. Su fundamento teórico, como dijimos, esta basado en el teorema del
muestreo, la cuantificación y la codificación.
Por el método empleado en la conversión, podemos clasificar a los ADC en tres tipos
generales:
a) Conversores de transformación directa.
b) Conversores con transformación (D/A) intermedia auxiliar
c) Otros métodos
Antes analizar diversos tipos de conversores ADC, veremos el esquema simplificado de
una serie de circuitos denominados “de captura o muestreo y mantenimiento o retención
(S&H: Simple and Hol):

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

5
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Vi
Buffer
+

Buffer
+

Conmutador
electrónico

Vo

C
C/M
Esquema en bloque representativo

Vi

Vo

S & H
C/M
Estos circuitos son los encargados de tomar una muestra (durante un intervalo de
tiempo) de la tensión analógica a convertir y el posterior mantenimiento del valor
obtenido, durante el tiempo necesario para que se lleve a cabo la conversión A/D.
El funcionamiento del circuito anterior, es el siguiente: El convertidor A/D envía por la
línea C/M, un pulso de tensión de ancho “τ” que cierra el conmutador, cargando el
condensador, durante ese tiempo. Idealmente, durante el tiempo “τ” , el condensador
sigue a la tensión de entrada. Terminado este tiempo, el conmutador se abre y C
mantiene la carga. (Los buffer son AO realimentados config. seguidor de tensión, con
alta impedancia de entrada y baja impedancia de salida). En la próxima figura se puede
observar este proceso:

Vi

Vo=Vc

t

C/M
t
El grafico anterior tiene carácter de ideal, dado que durante la carga como la descarga
del condensador, el valor real de la tensión, está relacionada con su valor, el valor de las
capacidades parásitas y con las resistencias asociadas al circuito.
La señal C/M proviene del convertidor A/D, que es el único que conoce cuando se ha
completado la conversión de la muestra de tensión tomada. En algunos convertidores el
periodo de toma de muestra es constante; en otros, depende de los niveles de tensión
muestreados.
A continuación detallaremos algunos de los convertidores A/D mas utilizados.
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

6
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------CONVERTIDOR A/D CON COMPARADOR EN PARALELO
VA: entrada analógica muestreada

Vref.=+10 V
Vi

VA
S&H

Codificador
de prioridad
C7

7V

I7
Salida
digital

C6

6V

I6
C5

5V

(MSB)
A2

I5
A1
C4

4V

I4

A0

C3

3V

I3
C2

2V

I2
C1

1V

I1

Resolución = 1 Volt
Entrada Muestreo
Analógica Retención

Cuantificación

Codificación

VA

C1 C2 C3 C4 C5 C6 C7 A2 A1 A0

0-1V
1-2V
2-3V
3-4V
4-5V
5-6V
6-7V
>7V

1
0
0
0
0
0
0
0

Salida
digital

1
1
0
0
0
0
0
0

1
1
1
0
0
0
0
0

1
1
1
1
0
0
0
0

1
1
1
1
1
0
0
0

1
1
1
1
1
1
0
0

1
1
1
1
1
1
1
0

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

El convertidor paralelo o también llamado “instantáneo”, es ADC de mayor velocidad
disponible. Este convertidor consta de N comparadores a los cuales se le introducen dos
señales simultaneas, una es la señal analógica ya muestreada y la otra una tensión de
referencia distinta para cada comparador y que se obtiene de una misma tensión de
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

7
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------referencia (Vref.), mediante una red de resistencias conectadas en serie. De esta manera
se producen N comparaciones (7 en el dibujo) simultaneas entre la tensión de entrad y
las obtenidas desde la referencia.
Las salidas de los comparadores se aplican a un codificador, que transforma la
información a un código binario procesable.
El tiempo de conversión completo, es del orden de los nanosegundos. Dado que la
comparación es simultanea en todos los comparadores, a diferencia de otros
convertidores, que son secuenciales.
El inconveniente principal de este convertidor es su precio dada la gran cantidad de
comparadores necesarios cuando se quiere disminuir la resolución o escalón a codificar.
Por ejemplo si vamos a codificar con palabras de 8 bits (1 byte) necesitaríamos una
cantidad de comparadores dado por:
N = 2n – 1 = 28 – 1 = 255
Para este caso necesitaremos también 256 resistencias para generar las tensiones de
referencias de los comparadores.
Ejemplos de estos convertidores, tenemos al MC10319 de Motorota de 8 bits en el que
se utiliza circuitos ECL de alta velocidad; Sus entradas y salidas están adaptadas para
ser compatible con TTL. Su tiempo de conversión es menor de 20 ns.
El AD9010 de Analog Devices es un convertidor paralelo de 10 bits con un tiempo de
conversión menor a 15 ns.
CONVERTIDOR A/D CON RAMPA EN ESCALERA
C/M
Vi

S&H

Vo
-

RELOJ Y
LOGICA DE
CONTROL

CONTADOR
BINARIO

AO

+
Entrada
analógica

LATH Y
AMPLIFICADO
RES DE
SALIDA

VA/D

Vo

Salida
digital
codificada

CONVERTIDOR
A/D

Vo2

VA/D

Salida del
convertidor
A/D

Vo1

t1

t2

t

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

8
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------A este convertidor también se le llama “A/D de rampa digital” o “A/D contador”. Es el
circuito mas sencillos de los convertidores A/D y consta básicamente de los elementos
observados en la figura anterior: Reloj y circuito de control, circuito de captura y
mantenimiento (S&H), contador digital binario, conversor D/A, comparador, y circuito
de salida, consistente en basculas de retención (LATH) y amplificadores adaptadores.
El funcionamiento de este convertidor, es el siguiente:
Cuando el circuito S & H ha muestreado la señal analógica (ordenado por la señal C/M
proveniente del circuito de control), el contador comienza a funcionar contando los
impulsos procedentes del reloj. La salida binaria del contador, es convertida por el
DAC en una tensión eléctrica (VA/D) a medida que se va realizando la cuenta. Esta
ultima tensión, tiene la forma de una escalera y resulta proporcional a la cantidad de
pulsos contados. A su vez, la tensión de salida del DAC, es comparada con la tensión
muestreada Vo en el comparador. Cuando ambas tensiones se igualan (y la supera en
una cantidad VT) la salida del comparador cambia de valor (de o pasa a 1), detiene la
cuenta del contador y el ultimo valor digital contado se presenta en la salida
completándose la conversión. Luego nuevamente se reinicia el proceso y así
sucesivamente.
Este convertidor presenta dos inconvenientes importantes que son la baja velocidad y el
tiempo de conversión es variable, en función del nivel de señal muestreada. El tiempo
de conversión para una determinada tensión de entrada Vi, la podemos determinar de la
siguiente forma:
t/ Tc = Vi / Vf. escala siendo Tc el tiempo total para fondo de escala
Tc = nº máx. que puede contar el contador x periodo de los pulsos reloj = (2N -1). T
Despejando el tiempo t, tendremos:
t = Vi.Tc / Vf.escala = Vi. (2N -1). T) / V f. escala = Vi. (2N -1) / f . V f. escala
N= nº de bits del contador y del código convertido.
Problema
Un ADC en escalera tiene una tensión de fondo de escala de 10,23 volt y su contador
binario tiene una salida de 10 bits, con una frecuencia reloj de 1MHZ.La tensión de
cambio del comparador vale VT = 0,1mV.Determinar:
a) El código binario equivalente de salida para una tensión de entrada Vo = 3,728 V
b) El tiempo de conversión
c) La resolución del convertidor A/D
Solución:
a) Como el contador tiene 10 bits puede contar hasta 210 – 1 =1023 pulsos que se
convertirán a la salida del DAC en 1023 escalones. Como Vf. escala= 10,23 voltios, el
valor de cada escalón vale:
10,23 V/ 1023 esc. = 10 mV Esto quiere decir que la salida del DAC interno se
incrementa cada 10 mV
Como la tensión de entrada es de 3,728 Voltios, para que se produzca el cambio en la
salida del comparador, la salida de voltaje del DAC interno debe valer:
VA/D =3,728 + VT = 3,7281 V o un valor superior.
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

9
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Para este valor, entonces necesito una cantidad de escalones dado por
3.7281 V/ 10 mV= 372,81= 373 escalones.
Cuando se llegue a contar esta cantidad, el comparador cambia el valor de su salida y
detiene la cuenta binaria, presentando en la salida, el correspondiente valor digital que
le corresponde al decimal 373
37310≡ 01011101012.
b) Como la entrada de pulsos al contador se realiza con una frecuencia de 1 MHZ o sea
con un periodo T = 1 / f = 1/ 10 MHZ = 1µseg. Y como debe contar hasta 373
decimales, el tiempo de conversión total vale:
t = 1µseg. . 373 = 373 µseg.
También podríamos haber determinado el tiempo con la formula propuesta:
t= Vi. (2N -1) / (f . V f. escala) =(3,728 V.1024)/( 1MHZ. 10,23 V) = 373,16 µseg.
c) La resolución de este convertidor corresponde al DAC interno o sea al tamaño del
escalón que vale 10 mV.
Problema
Para el ADC del problema anterior, determinar el intervalo aproximado de la tensión
eléctrica analógica, que producirá el mismo resultado digital para:
01011101012≡37310
Solución:
Cuando el contador cuente el penúltimo pulso, 37210 , la salida del DAC interno lo
convierte a una tensión VA/D = 3,72 V. Ahora bien si la tensión muestreada Vo tiene un
valor menor a por lo menos Vo< 3,72 V –VT, el comparador todavía no cambia su
salida, permitiendo contar un pulso mas o sea 373. Por lo tanto este seria el límite
inferior de Vo que nos daría el valor digital equivalente a la cuenta 373.
El otro extremo resulta cuando Vo< 3,73 V –VT, dado que cuando el contador cuente
373, la tensión convertida por el DAC vale VA/D = 3,73 V. Como es superior a Vo, el
comparador cambia de estado y detiene la cuenta.
Resumiendo: los valores considerados de Vo con el mismo código digital son :
3,72 V –VT > Vo < 3,73 V –VT
Como VT es un valor pequeño, podemos decir que la salida digital es la misma entre
3,72 V y 3,73 V de la tensión de entrada analógica Vo. Como vemos la diferencia,
corresponde en magnitud, a la resolución del DAC interno
Resolución y exactitud del convertidor A/D
Resulta interesante comprender los errores asociados cuando se llevan a cabo
mediciones con instrumentos digitales. Para ello consideraremos los errores en el
convertidor de rampa en escalera. Uno de esos errores se debe a la resolución del DAC
interno que hace que la tensión a su salida se incremente en escalones hasta que su valor
supere a la tensión Vo. Para mejorarlo, deberíamos disminuir la resolución (escalón),
pero siempre existirá una diferencia entre la cantidad real y el valor digital asignado. A
esta diferencia, se le denomina error de cuantificación o cuantización.
En el problema anterior vimos como para una misma salida digital, la tensión analógica
correspondiente, podía tener prácticamente una diferencia de 10 mV, con la asignación
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

10
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------del mismo valor digital. De allí que una fuente de error es la resolución del DAC
interno. Este error se le asigna aun ADC como +1LSB, o sea al factor de ponderación
que corresponde al bit menos significativo. Existen algunos convertidores donde el error
de cuantificación lo establecen en ± 1/2 LSB
Otro error que aparece en los ADC esta relacionado esta relacionado con la “exactitud”
que depende de la presición de los componentes del circuito, como el comparador, las
resistencias de presición del DAC interno, de los conmutadores de corriente, de las
tensiones de referencia , etc. Una especificación de estos errores, se dan en relación a la
tensión de fondo de escala. Por ejemplo una exactitud de 0,01% FS (fondo de escala),
indica que la salida puede tener un error del 0,01% de su tensión mas alta.
En gral, el error de cuantificación y la exactitud, están dentro del mismo orden de
magnitud.
Problema
Un convertidor analógico-digital de 8 dígitos binarios de salida, tiene una tensión de
entrada a plena escala de 2,55 V, produciendo con este valor una salida digital
11111111. El error porcentual que presenta respecto a su valor de plena escala es de
0,1% F.S. Determinar la cantidad máxima que puede diferir la salida VA/D, respecto a la
señal de entrada Vo
Solución:
Como primer paso debemos determinar el escalón del DAC interno. Este vale:
Escalón: 2,55 V / ( 28 – 1) = 10 mV
Esto significa, de acuerdo al problema anterior que incluso si el DAC interno no
presenta imprecisiones, la salida VA/D podría estar desviada, respecto a su valor real
(Vo) en una cantidad de 10 mV. Esta diferencia, es el error de cuantificación que no lo
podemos inherente al DAC interno donde su valor de salida, que controla al
comparador, cambia en escalones de 10 mV. Debemos recordar que VA/D representa el
valor convertido a analógico, del código digital binario de la salida del ADC que
estamos tratando.
Ahora debemos tratar el error debido a las imperfecciones de los elementos del circuito
que el fabricante lo especifica como 0,1 % F.S. Este resulta:
0,1% . 2,55 = 0,001 . 2,55 = 2,55 mV
Esto significa que VA/D puede estar errado en 2,55 mV de su valor real.
De esta forma, el error total posible puede estar en un valor máximo dado por:
10 mV + 2,55 mV = 12,55 mV.
Por ejemplo, supongamos que la entrada analógica es de 1,268 V. Si el DAC interno
fuera perfecto, la cuenta del contador se detendría en el valor 127 que correspondería a
una tensión VA/D = 1,27, mayor que Vo, haciendo que el comparador cambie de estado
y detenga la cuenta. Ahora bien si por la imperfecciones del circuito, la tensión VA/D
difiere en -2 mV, resultaría menor que Vo y el contador seguiría contando un pulso mas,
pasando la cuenta a 128. Con este valor VA/D = 1,28 V y allí quedaría como valor final
convertido. La salida del ADC seria 100000002 ≡ 12810 , con lo que el error debido a las
imperfecciones del circuito y de cuantificación quedaría:
VA/D – Vo = 1,28 – 1268 = 12 mV.
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

11
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Tiempo de conversión
Como ya lo habíamos analizado, el tiempo de conversión se determinaba mediante:
t= Vo.( 2N -1). / f . V f.escala
De otra forma, representa el tiempo que tarda el contador en llegar a una cuenta
determinada. Esta cuenta, la finaliza el comparador cuando VA/D > Vo.
El tiempo de conversión máximo será entonces cuando Vo este por debajo del limite de
escala, de modo que VA/D pasa al ultimo escalón para activar el contador y detenerse,
para volver a contar. Este valor vale para F = 1 MHZ y 10 bits:
t máx = (2N -1). / f = ( 210 -1) / 1MHZ = 1023 µseg.
Algunos fabricantes, para el caso del convertidor en escalera, suministran como tiempo
de conversión, el valor promedio aritmético. Para nuestro ejemplo vale:
t promedio = t máx. / 2 =1023/2 = 511,5 µseg. ≈ 2N-1 ciclos reloj.
La desventaja principal del método de rampa en escalera, es fundamentalmente el
tiempo de conversión, que se incrementa al doble por cada bit que agregamos al
contador. Disminuimos la resolución del DAC interno, a costa de aumentar al doble el
tiempo de conversión. Por ello, este convertidor no se utiliza en aplicaciones donde se
deban convertir señales analógicas que cambian con alta velocidad (frecuencias.
altas).Sin embargo para aplicaciones de baja velocidad dada la relativa sencillez del
circuito, los hace ventajosos.
CONVERTIDOR A/D DE APROXIMACIONES SUCESIVAS
Entrada
Analógica
Vi

S&H

Vo

-

RELOJ Y
CIRCUITO DE
CONTROL

REGISTRO DE
APROXIMACIONES
SUCESIVAS

AO

+

BUFFER
DE
SALIDA

Salida
digital

VA/D
CONVERTIDOR
D/A

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

12
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Este convertidor ADC, es bastante similar al convertidor en escalera, desde el punto de
vista de su diagrama en bloques, con la diferencia apreciable que se sustituyo el
contador digital binario por un circuito denominado de “registro de aproximaciones
sucesivas”. Este registro, cuando se le da la orden de inicio, comienza colocando a 1 el
bit mas significativo (MSB), quedando el resto a cero; o sea por ejemplo para una salida
digital de 10 bits, aparece el 10000000002, valor que corresponde a la mitad de la
máxima excursión de la tensión de entrada. Este valor digital, mediante el DAC interno
es transformada a una tensión analógica “VA/D “que es comparada con la señal
analógica de entrada, a convertir.
Si la señal “VA/D “ es mayor que Vo, el comparador bascula dando lugar a una señal que
hace que el registro cambie su contenido, sustituyendo el 1 del bit mas significativo por
un cero y colocando un 1 en el bit de peso inmediatamente inferior, quedando el resto
inalterado; el nuevo valor de salida será 01000000002. Este último valor, nuevamente es
convertido a señal analógica y comparada nuevamente con la señal Vo. Si en esta
comparación, resulta Vo > VA/D, el comparador cambia de estado, haciendo que el
registro no modifique el 1 del bit de mayor peso, pero agrega un 1 en el bit
inmediatamente inferior, dejando el resto en cero.
El proceso se repite “n” veces (“n”, es el numero de bits del código digital de salida),
hasta alcanzar el bit de menor peso (LSB). Terminada la secuencia, el valor digital final
corresponde al valor convertido de la señal analógica muestreada y cuantificada.
La próxima figura, muestra la modificación de los bits del registro de 5 bits, para un
determinado valor de tensión analógica a convertir.
Vf. escala

VA/D
Vo

Vf.esc.
2

Bit 4 (MSB)

1

1

1

1

1

1

1

1

1

1

Bit 3

0

0

1

0

0

0

0

0

0

0

Bit 2

0

0

0

0

1

1

1

1

1

1

Bit1

0

0

0

0

0

0

1

0

0

0

Bit 0 (LSB)

0

0

0

0

0

0

0

0

1

1

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

13
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Una característica del método de aproximaciones sucesivas es que el valor final
convertido VA/D resulta por debajo de la señal analógica Vo, a diferencia del método de
rampa, donde el voltaje equivalente, estaba por arriba de Vo.
Problema
El registro de aproximación sucesiva de un convertidor tiene 8 bits con una resolución
de 20 mV. Determinar la salida digital para una tensión analógica de entrada de 2,17
Voltios
Solución:
Por la resolución, la cantidad de escalones posibles para la tensión a convertir resulta:
2,17/20 = 108,5 escalones
Para 108 escalones corresponde VA/D= 108 x 20 mV = 2,16 voltios
Para 109 escalones corresponde VA/D= 109 x 20 mV = 2,18 voltios
Como la aproximación queda en un valor menor, entonces le corresponde el valor final
a 2,16 V < 2,17 V. Por lo tanto la salida del convertidor corresponderá al valor
equivalente digital del decimal 108
10810≡ 011011002.
Tiempo de conversión del A/D de aproximaciones sucesivas
Como el proceso de aproximación se repite en la misma cantidad de pasos, cualquiera
sea el valor de la tensión analógica a convertir, entonces el tiempo de conversión es fijo
El procesamiento de cada bit toma un ciclo reloj, de modo que el tiempo de conversión
total para N bits, resulta:
tc = N x 1 ciclo reloj
La constancia de éste valor, independiente del valor de Vo, resulta interesante cuando
los datos analógicos están cambiando a una frecuencia relativamente rápida.
Problema
Determinar los tiempos de conversión de dos ADC de 10 bits, uno de rampa en escalera
ascendente y otro de aproximaciones sucesivas, que están alimentados con una
frecuencia reloj de 500 KHZ
Solución:
tc.esc max = (2N – 1) x 1/f = 1023 x 2 µseg. = 2046 µseg. (ADC rampa en escalera)
tc a.s

= N x 1/f = 10 x 2 µseg = 20 µseg.(ADC aproximación sucesiva)

Como vemos para la tensión de fondo de escala, el convertidor de aproximación
sucesiva es prácticamente 100 veces más rápido que el de escalera.
ADC DE RAMPA EN ESCALERA ASCENDENTE Y DESCENDENTE
Como hemos analizado, el ADC de rampa ascendente es relativamente lento porque el
contador se reestablece a cero al inicio de cada nueva conversión. La escalera de
tensiones a la salida del DAC interno, comienza en cero y su nivel se incrementa hasta
el punto de conmutación del comparador, que ocurre cuando VA/D supera a Vo. El
tiempo que le toma a la escalera restablecerse a cero e incrementarse nuevamente al
nuevo valor, se desperdicia.
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

14
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------En el ADC de rampa en escalera ascendente y descendente, se usa un contador
ascendente-descendente para disminuir el tiempo desperdiciado. Este contador cuenta
hacia arriba cuando el comparador indique VA/D < Vo y cuenta hacia abajo, cuando VA/D
> Vo. De esta manera la salida del DAC (VA/D) se modifica hasta que se produce “el
cruce con Vo donde detiene la cuenta.
Para un nuevo valor a convertir, ahora el contador no se reestablece a cero sino que
parte de su ultima cuenta, incrementándole o decrementándose, según sea el nuevo valor
de Vo respecto a VA/D.
De esta manera, el tiempo de conversión en este convertidor, se reduce, respecto al de
escalera ascendente, pero seguirá siendo variable, en función del valor a convertir.
Como la salida del DAC interno sigue a la entrada Vo, a menudo se le denomina “ADC
de seguimiento”
CONVERTIDORES A/D CON INTEGRADOR
Estos convertidores son más sencillos que los anteriores ya que no utilizan DAC
interno. Se emplean en aquellos casos en que no se requieren gran velocidad, pero en
los que es importante conseguir buena linealidad. Se usan frecuentemente en
voltímetros digitales.
Existen dos tipos a saber: el de rampa única y el de doble rampa.
Convertidor A/D de rampa única

Conmutador
electrónico
Generador
rampa
Vref.
R

C
AO
+

VA

Comparador
AO
+

Cx
CONTADOR

Vp
Vo

Vi
S&H

RELOJ Y
CIRCUITO DE
CONTROL

BUFFERS
DE
SALIDA

VS
Salida
digital

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

15
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Como muestra el dibujo, tiene un integrador, un comparador, un generador de impulsos
y los circuitos de salida.
En la puesta en marcha el integrador y el contador son puestos a cero por el circuito de
control. A partir de este momento, el integrador genera una rampa con una pendiente
determinada por los valores de C y R. Simultáneamente el contador comienza a contar
los pulsos provenientes del reloj, que pasan por una puerta Y. Para este caso, la salida
del comparador, deberá estar en uno lógico (1), para permitir que los pulsos lleguen al
contador. En el comparador se realiza la comparación entre la señal de entrada (señal
muestreada) y la rampa generada en el integrador. Cuando el nivel de la rampa supera a
la señal de entrada, el comparador bascula colocando un cero lógico en la puerta AND,
deteniendo el paso de pulsos hacia el contador. El valor contado hasta este momento,
corresponde con la salida digital del valor de la tensión de entrada muestreada.
Las formas de ondas de las distintas señales que intervienen, se muestran en el siguiente
dibujo:
TS
Vo
VA

t

VS

t
Cx

t
T

Ts representa el tiempo entre dos conversiones consecutivas.
El tiempo T se obtiene a partir de la condición de que Vo (tensión analógica muestreada
es igual a la VA (tensión rampa) o sea t = T.
vA(t) =– 1/C.R ∫0T (-Vref.) dt = Vref. T / C.R
VA = Vo = Vref.T/CR despejando el tiempo T
T =Vo. CR/ Vref
El tiempo T lo reemplazamos por la cantidad de pulsos contados x periodo del pulso o
sea T = N . Tp = N/ f
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

16
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------De allí despejamos el número de pulsos contados y tendremos:
N = (C.R. f/ Vref). Vo
Como podemos observar, la cantidad de pulsos contados es una medida de la tensión
analógica de entrada. Por lo tanto el valor digital lo obtenemos a la salida del contador
binario.
En la formula anterior vemos que los pulsos contados es función de los valores de C y
R, valores que se modifican con la temperatura, con lo que el error puede aumentar.
Otro inconveniente es la baja velocidad.
Convertidor A/D de doble rampa
Conmutador
electronico
C1

Generador
rampa
C
AO
+

C2

CIRCUITO DE
CONTROL

VA
AO
+

CONTADOR

Comparador
a masa
-Vref.
Vi

Vo

BUFFERS
DE
SALIDA

RELOJ

S&H

Salida
digital
VA
T1

TA1

TA2

t

VA1

VA2

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

17
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Este convertidor se ha diseñado para resolver los inconvenientes del de rampa única
(variabilidad de C, R y f ). El funcionamiento comienza integrando la señal muestreada
de entrada durante un tiempo T1 fijo para cualquier nivel de tensión. Esto da lugar a una
rampa negativa hasta alcanzar el nivel de tensión - VA1 (- VA2 corresponde para otro
nivel de tensión de entrada). A continuación se cambia de posición el conmutador C2 y
se pasa a integrar una tensión negativa de referencia (-Vref.), dando lugar a una rampa
positiva, que comienza desde -VA. El tiempo de integración de esta rampa, hasta que la
tensión se haga cero, como se observa en el grafico, depende de la tensión alcanzada
cuando se genero la rampa negativa. Durante este tiempo, el contador cuenta los pulsos
provenientes del reloj.
Al pasar la rampa por el nivel cero, detectado por el comparador, termina la cuenta,
teniéndose una salida digital, proporcional al nivel de tensión de entrada.
Por ejemplo para el nivel de tensión de entrada que proporciona - VA1, el contador
cuenta durante el periodo ∆T= TA1-T1 y para -VA2 cuenta durante ∆T= TA2-T1 .
Con este método se eliminan las derivas por C, R y frecuencia reloj. En efecto, la
tensión alcanzada por la primera rampa para t = T1 vale:
VA1= - Vo (muestreada). T1 / C.R
Durante este tiempo el reloj habrá oscilado”n1” veces de manera que
T1 = n1. T reloj
Por otra parte, el tiempo TA1, es el empleado en alcanzar el nivel cero, en el transcurso
de la segunda rampa, por lo que VA1 también la podemos expresar como:
VA1 = -( TA1 –T1 ) . Vref. / C.R = - Vo (muestreada). T1 / C.R
Despejamos ahora el periodo de la rampa positiva tendremos:
( TA1 –T1 ) = Vo(muestreada)/Vref . n1. Treloj
Durante este periodo el contador cuenta N pulsos, por lo que podemos reemplazar a este
periodo por la cantidad de pulsos contados multiplicado por el periodo del reloj:
( TA1 –T1 ) = N. T reloj finalmente determinamos el número de pulsos contados N,
resultando:
N = n1. Vo (muestreada) / Vref.
Como podemos ver el número de pulsos contados para el nivel de tensión muestreado y
convertido, no depende de C, R y la frecuencia.
Evidentemente, este convertidor presenta tiempos de conversión largos ( 10 a 100
mseg.), por lo que no se lo emplea para adquisición de datos o señales de audio, pero la
conversión lenta no resulta un problema para su aplicación en voltímetros y
multímetros.
Como ventaja, es su bajo costo y con un grado mayor de complejidad, como dijimos,
resuelve los problemas del de rampa única, respecto a la variabilidad de C,R y la
frecuencia.
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

18
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------CONVERTIDOR A / D DE VOLTAJE A FRECUENCIA
Este convertidor es más simple que los vistos anteriormente porque no necesita un DAC
interno. En lugar de este, utiliza un “oscilador lineal controlado por voltaje”,
denominado también VCO, que produce una frecuencia de salida proporcional a su
voltaje de entrada. Para el caso del convertidor A / D, el voltaje de entrada del VCO es
la señal analógica. Esta última modifica la frecuencia de salida del VCO. Esta
frecuencia alimenta a un contador que cuenta durante un intervalo de tiempo fijo. El
conteo final resulta proporcional al valor del voltaje analógico.
Para tomar como ejemplo e interpretar su funcionamiento, supongamos que el VCO
genera una frecuencia de 10 KHZ cuando se le aplica una tensión de 1 volt. Si le
aplicamos 1,5 volt, la frecuencia de salida pasa a 15 KHZ, y con 2,73 voltios, la
frecuencia es 27,3 KHZ. Como vemos el incremento de frecuencia es proporcional al
incremento de la tensión de entrada.
Por ejemplo si tenemos ahora una tensión de 4,54 voltios a la salida del VCO
tendremos 45,4 KHZ y si esta frecuencia la hacemos pasar por un contador que cuente
durante 10 mseg. el contador contara hasta 454. Como vemos, en este caso para una
tensión de 4,54 voltios, tenemos a la salida del contador, el valor digital equivalente al
decimal 454 representativo de la señal analógica.
Si bien este método de conversión es simple, tiene el inconveniente que resulta difícil
alcanzar un grado de presición alto, dado que es dificultoso diseñar un VCO con
exactitud del 0,1 %.
Una de las aplicaciones principales para este tipo de convertidor es en los entornos
industriales ruidosos donde se deben transmitir señales analógicas de pequeña
magnitud, provenientes de los transductores, hacia las computadoras de control. El
ruido eléctrico puede afectar de manera adversa las señales analógicas si se transmiten
directamente, a través de conductores, a las computadoras. Una solución, es alimentar
un VCO con la señal analógica y transmitir la variación de esta frecuencia que
prácticamente no se vera afectada. La computadora, por medio de sus circuitos internos
y programa correspondiente, contara los pulsos digitales durante un tiempo fijo y
convertirá este conteo en el equivalente valor digital de la señal analógica.
DESCRIPCION TECNICA DEL CONVERTIDOR ADC0808
A continuación, daremos una descripción sintética de un convertidor A/D presentado
por varios fabricantes; En nuestro caso tomaremos el chip de Nacional Semiconductor,
el cual presenta algunas de estas características:
1)- tecnología de fabricación CMOS
2)- Conversor del tipo de aproximaciones sucesivas
3)- Alimentación única normal Vcc = +5 Volt (máx. 6,5 V)
4)- Bajo consumo (15 mW)
5)- Tiempo de conversión típico 100 µs.
6)- Salidas triestado memorizadas, compatible con TTL.
7)- Multiplexor analógico de 8 canales de entrada.
8)- Adaptación a microprocesadores
9)- Resolución 8 bits
10)-Errores de linealidad y desajuste total < ±1/2 LSB (digito menos significativo)
Este convertidor A/D, que es presentado en varios encapsulados de CI monolítico,
utiliza la técnica de conversión de “aproximaciones sucesivas” produciendo códigos o
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

19
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------palabras binarias de 8 bit equivalentes a la magnitud de la entrada analógica. Veamos
primero su diagrama en bloques:
Líneas de
direccionamiento

IN0(26)
IN1(27)

(25)ADD A

IN2(28)
8 entradas
analogicas

IN3(1)
IN4(2)

Multiplexor de
8 canales
analogicos

IN5(3)

(23)ADD C
(22)ALE

IN6(4)

Activación de la
báscula de
direcciones

IN7(5)
Señal
analógica
seleccionada

(24)ADD B

Decodificador
de direcciones
(Básculas)

START(6)
(inicio)

CLOCK(10)
(reloj)

Conversor A/D
(7)EOC
(Fin de la
conversión)

Control y
tiempos

Registro de
aproximaciones
sucesivas (SAR)

MSB
(21)2-1
(20)2-2

Comparador

(19)2-3
Báscula /
amplificadores
de salida

(18)2-4
(8)2-5
(15)2-6
(14)2-7

Cadena de
conmutadores

(17)2-8
LSB

256 resistores

Salida de 8
bits
compatible
TTL

Conversor
D/A

(11)Vcc (13)GND (12)Vref(+)

(9)OUPUT
ENABLE
(Control
triestado)
(16)Vref(-)

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

20
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Los números, en los terminales del diagrama de bloques, corresponden a los pines del
circuito integrado.
Dispone de 8 entradas analógicas posibles y de una lógica de control compatible con
cualquier microprocesador. Un multiplexor analógico de 8 canales puede acceder
directamente a cualquiera de los 8 entradas analógicas.
El DAC interno consta de 256 resistencias conectadas a una cadena de conmutadores
analógicos. Dispone también de un comparador estabilizado (chopper) y un registro de
aproximaciones sucesivas.
Mediante una combinación de líneas de entradas A, B y C del decodificador de
direcciones, se selecciona uno de los 8 canales analógicos. El multiplexor analógico
traslada la señal analógica del canal elegido a una de las entradas del comparador. La
selección, corresponde a la mostrada en la siguiente tabla:
Canal
seleccionado
Entrada 0
“ 1
“ 2
“ 3
“ 4
“ 5
“ 6
“ 7

C B A
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

Por el terminal (22) ALE, se recibe un flanco ascendente que memoriza la información
presente en las líneas A, B y C.
Para el funcionamiento y sincronización del convertidor, es necesario aplicarle un reloj
externo que puede establecer la frecuencia de trabajo entre 100 KHZ y 1,2 MHZ.
Los terminales (12) y (16), Vref (+) y Vref (-). Introducen desde el exterior los voltajes
de referencia para el convertidor, los cuales determinan el margen de la tensión
analógica de entrada a convertir. Desde el Terminal Vref (-), la tensión de referencia se
deriva hasta la Vref (+), pasando por una cadena de 256 resistencias en serie, como
indica la figura:
Control desde el registro
de aprox. sucesivas

A la entrada del
comparador

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

21
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------El registro de aproximaciones sucesivas (SAR) de 8 bits, selecciona secuencialmente a
cada uno de los conmutadores analógicos asociados a cada resistencia, produciendo la
tensión que conforma una de las entradas del comparador, el cual compara con la
tensión analógica a convertir. En caso de no ser iguales dichas tensiones, el SAR
cambia de contenido y selecciona un nuevo conmutador. Cuando se consigue que las
dos entradas sean iguales, el contenido del SAR es el equivalente, en digital de la
tensión analógica a convertir, dando por finalizado el proceso.
El SAR es puesto a cero cuando se introduce por el Terminal (6) START, un flanco
ascendente, comenzando la conversión al llegar al siguiente flanco descendente. Si
durante un proceso de trabajo, se activa este Terminal, se interrumpe la conversión y se
inicia una nueva.
El Terminal (7) EOC proporciona un nivel alto cuando se ha completado el proceso de
conversión. El flanco positivo de este Terminal, indica que la salida digital del
convertidor es valida. EOC pasa a nivel bajo dos ciclos de reloj después de que se
produzca un flanco ascendente en la señal START.
Por los terminales 8, 14, 15, 17, 18, 19, 20 y 21 se obtienen una salida digital binaria
equivalente a la tensión analógica seleccionada. Esta salida digital queda almacenada en
una bascula (LATH) triestado, compatible con TTL. Mediante el Terminal (9) OUPUT
ENABLE (control triestado) en nivel bajo, se puede poner en estado flotante las salidas
del conversor.
APLICACIONES DE LOS CONVERTIDORES A / D
VOLTIMETRO DIGITAL
Los voltímetros digitales convierten los voltajes analógicos a su representación en
código BCD (decimal codificado en binario), el cual se decodifica y se presenta la
información a través de visualizadores, normalmente de 7 segmentos.
Analizaremos el principio de funcionamiento de un voltímetro digital que utiliza como
conversor una rampa digital. Para ello realizaremos un esquema en bloques de un DVM
(medidor de voltaje digital) de tres dígitos. En este caso, el contador esta compuesto por
tres contadores de décadas que cuentan hasta 999, para luego pasar a cero y volver a
contar. La salida de los contadores es convertida a analógica por el ADC interno, que
tiene una resolución de 10 mV. Al final de la cuenta (999), nos va a dar un valor
máximo de la tensión de salida Vo, de 9,99 voltios.(valor máximo también de la
tensión analógica a medir).
La salida de los contadores también alimenta a tres registros del tipo paralelo que
guardan transitoriamente la última información obtenida en la conversión, hasta que se
complete la nueva conversión. Estos registros son basculas (LATH) del tipo D, donde la
información se transfiere a la salida después que se le aplica un pulso reloj. De esta
manera mientras los contadores están contando, esta información no se transfiere a los
elementos visualizadores, sino que mantienen la cuenta anterior en su salida. De esta
manera en los elementos visualizadores, mientras se realiza la nueva lectura, mantienen
el valor numérico anterior. Cuando la ultima cuenta finaliza, los registros actualizan su
salida, transfiriendo a estas ultimas, la nueva información que tienen en sus entradas.
A su vez la salida de los registros actúa como entrada de decodificadores BCD
/excitadores de 7 segmentos que excitan finalmente los elementos visualizadores.
La siguiente figura, nos muestra el diagrama en bloques de las partes más importantes
del voltímetro digital:
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

22
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Visualizadores 7 segmentos
unidades

decenas

centenas
Modificación del
punto decimal
Por cambio
escala

Decodificador BCD/
7
segmentos

Decodificador BCD/
7
segmentos

Decodificador BCD/
7
segmentos

Registro
4 bits
(Basculas o
lath)

Registro
4 bits
(Basculas o
lath)

Registro
4 bits
(Basculas o
lath)

Contador
BCD
(Centenas)
CL

Contador
BCD
(Decenas)
CL

Contador
BCD
(Unidades)
CL

Entrada
reloj

Convertidor de BCD a analogico
F.S=9,99 V
Vo
Entrada
analogica Vi

VT=0,1 mV

+

Q2
A las
entradas de
borrado de
los registros
(CLEAR)

Comparador
Vc

Q1
MV1

`Q2

MV1
`Q1

Multivibradores monoestables

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

23
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Analizando el diagrama de bloques, cuando Vo < Vi la salida del comparador Vc,
permanece en “alto” (1), permitiendo que pasen por la compuerta AND los pulsos reloj
hacia el contador. A medida que este se incrementa, la señal a la salida del DAC interno
“Vo” se incrementa, también a razón de 10 mV por pulso contado. A partir de un
numero de cuenta, resulta Vo > Vi; para esta situación, la salida del comparador pasa a
“bajo” (0), inhabilitando la compuerta AND, y con esto, deteniendo la cuenta, con lo
que se termina la conversión. Por otra parte, el flanco de bajada de la tensión Vc,
provoca el disparo del multivibrador monoestable nº1 que genera en su salida, un pulso
temporizado de 1 µseg. . Este pulso activa los registros (basculas) que transfieren el
ultimo valor de la cuenta del contador, a su salida, haciendo cambiar o no, la
presentación decimal en los visualizadores.
Cuando cae el pulso del MV1, la información queda retenida en los registros, y por otro
lado activa al MV2, que genera otro pulso que restablece los contadores a cero. Para
esta ultima situación “Vo” cae a cero haciendo que Vo < Vi, el comparador entonces
pasa a alto, habilitando nuevamente la compuerta AND y permitiendo que los pulsos
reloj sean contados nuevamente, iniciando un nuevo ciclo de conversión.
La siguiente figura muestra las formas de ondas de las diferentes señales que
intervienen en la conversión del DVM.
Vi1
Vi2
Vo

Vo
t

Vc
Fin de la
conversión

VQ1

VQ2

t

Conteo
final
transferido
a salida
registros

t

Puesta a cero
contadores para
inicio nueva
conversión

t

Por ejemplo si la tensión analógica vale Vi = 6,3721 V, la tensión de salida del DAC
interno o sea Vo, deberá superar a 6,372 + VT = 6,3721 V para que la salida del
comparador (Vc) pase al valor bajo. Como el DAC varia su tensión cada 10 mV,
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

24
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------entonces se tendrán que generar 6,3721/10 mV = 637,21 escalones que en la practica
corresponden a 638 escalones. El contador (formado por tres décadas contadoras parara
su cuenta en el numero 638, valor correspondiente en BCD al 0110 0011 1000, valor
binario que se guardara en los registros de cuatro bits que a su vez, se decodificara a 7
segmentos, para su visualización. Para nuestro caso, donde estamos midiendo hasta el
valor 9,99 voltios, se deberá indicar con un indicador luminoso, el punto decimal, a la
derecha del visualizador que corresponde a las centenas (contador)
Cambio de escala del DVM
Para poder utilizar el voltímetro digital sobre varios intervalos de tensiones de entrada,
se usa un amplificador o atenuador adecuado colocado entre la entrada Vi y el
comparador. Por ejemplo si tenemos que medir con el voltímetro analizado una tensión
analógica de 63,72 V, lo podemos realizar colocando un atenuador por un factor de 10
de manera tal que el comparador reciba una tensión de 6,372 V en su entrada positiva
(+) con lo cual el contador llegaría a una cuenta de 638 al final de la conversión. En
este caso, para tener una lectura correcta en los visualizadores, es necesario correr el
punto decimal a la derecha del que corresponde a las decenas.
Mediciones de tensión, resistencia y corriente
El DVM se puede convertir en un multímetro (DMM). Por ejemplo para medir
corrientes, se hace pasar la corriente desconocida a través de una resistencia fija de
referencia para producir un voltaje. A los efectos de que esta resistencia no modifique
prácticamente el circuito de medición, se la hace pasar por un amplificador operacional
realimentado de tal forma que la fuente de corriente desconocida vea en la entrada del
multímetro un cortocircuito virtual. Veamos el circuito básico para convertir corriente
en tensión:
Rr
+

Ii
Vi

Vio = -Rr. Ii

v≈0 V

Para medir resistencia, se hace pasar por la resistencia a medir, una corriente fija de
referencia (fuente de corriente constante). Esta corriente conocida, convierte la
resistencia desconocida en una tensión eléctrica que luego es convertida a digital.
Rx
I=cte
Vo=-.Rx.I

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

25
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------En ambos casos, medición de corrientes y resistencias, previo a la conversión A/D, se
puede colocar un amplificador o atenuador para obtener distintas escalas de medición.
Mediciones de voltajes de CA
Los voltajes de CA se pueden medir en este DVM, previa su conversión a un voltaje de
CC. En estos casos la conversión de CA a CC no resulta conveniente realizarla con
rectificadores no controlados, debido a los errores provocados por las caídas de tensión
en los diodos (especialmente para mediciones de pequeñas señales). Una solución, es
recurrir a los denominados “rectificadores de presición de onda completa”. Tenemos
varios circuitos denominados “convertidores de ca a cc” o también llamados “circuito
de valor medio absoluto” (MAV). El MAV de una onda de voltaje, sea senoidal,
triangular o cuadrada, es aproximadamente igual a su valor medio cuadrática (MAV) o
valor eficaz. Por lo tanto, un circuito de bajo costo MAV puede utilizarse como
sustituto de un circuito de calculo de verdadero valor eficaz que es mucho mas caro.
Veamos a continuación un circuito MAV realizado con amplificadores operacionales:

A

En este circuito, cuando la tensión de entrada “Vi” es positiva, el diodo D2 esta
polarizado en directo y D1 en inverso. Por R1 circula una corriente I1=Vi/R1 y lo hace
también por R2. Como R1=R2=R (AO1 actúa como inversor realimentado), entonces en
el punto”A”, la tensión vale “-Vi”. Por otra parte, el AO2 actúa como “sumador
inversor” con señales que ingresan por R3 y R4 que valen “+Vi” y “-Vi”
respectivamente. La tensión a la salida de AO2 vale:
Vo= - ( (R5/R4).Vi + (R5/R3).(-Vi)) = - (Vi + 2.(-Vi)) = +Vi (salida para Vi positivo)
Cuando Vi es negativa, el diodo D2 no conduce y el diodo D1 conduce, dado que
aparece una tensión positiva a la salida de AO1 (+0,7 volt). Esto sucede así aun con una
muy pequeña amplitud de la tensión de entrada, dada la gran ganancia de amplificador
operacional. En este caso, la tensión del punto “A” vale cero volt por estar D2
bloqueado. La tensión de salida de AO2 vale:
Vo= - ( (R5/R4).(-Vi) + (R5/R3).(0)) = - R5/R4.(-Vi) = +Vi (salida para Vi negativo)
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

26
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Como vemos, en ambos casos, Vi positiva o negativa, la tensión de salida resulta
siempre positiva.
Sin el capacitor “C”, tenemos a la salida de AO2 la señal de entrada rectificada en onda
completa, con exactitud y sin perdida de señal, como seria el caso de utilizar los
rectificadores con diodos.
Vi

t

Vo

Vo sin capacitor
Vo con capacitor

t

Si le añadimos un capacitor de alto valor y de bajas fugas (10 µF de tantalio), el circuito
actúa como integrador, haciendo que Vo sea el valor promedio rectificado de Vi.
Para DVM de presición, se utilizan circuitos que convierten el valor rms de una señal
alterna pura u otra, a un valor de CC (el circuito es mas complejo). Por ejemplo el
circuito integrado AD536A de Analog Devices, realiza la conversión de rms a corriente
directa verdadera.
Nota sobre la excitación de los indicadores numéricos de 7 segmentos
En el diagrama en bloques presentado para el voltímetro digital, donde se observa un
decodificador BCD/7 segmentos para cada indicador numérico, la cantidad de hilos de
conexión, hace costoso y compleja, cuando debe realizarse. Una forma de reducir el
número de hilos de conexión tanto en las técnicas de sistemas realizados con circuitos
de mediana integración como en los de alta integración, consiste en utilizar la múltiplex
acción para la alimentación de los indicadores numéricos.
El multiplexado para el encendido de los paneles numéricos, se basa en utilizar un único
convertidor BCD/7 segmentos para el mando simultaneo de todos los segmentos de las
distintas décadas del contador, pero excitando únicamente un solo digito durante un
corto intervalo de tiempo, y produciendo una rotación en el encendido de las sucesivas
décadas, con suficiente velocidad para que no sea perceptible el parpadeo. En este caso
la entrada de información del convertidor BCD/7 segmentos debe irse conmutando
sincrónicamente con la excitación de cada digito, para obtener la indicación numérica
deseada. Con una frecuencia de encendido de 1 KHZ, es suficiente para eliminar el
parpadeo.
El siguiente esquema, muestra el diagrama en bloques de un sistema de excitación de
indicadores numéricos de cátodo común, con un solo decodificador BCD/7 segmentos.
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

27
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Entrada de datos

Oscilador
D1

Contador
4 bits

D2

DN

Multiplexor de datos

Decodificador
BCD/7Seg.

Decodificador
de 1 a N líneas
(Selec. display)
N
2
1

1

2

N

El esquema anterior muestra el esquema en bloques de un sistema de multiplexado para
indicadores numéricos Con LED de cátodo común. Consta de un oscilador que fija la
frecuencia del encendido secuencial de los indicadores. Un contador cargado por dicho
oscilador permite el direccionamiento de la década iluminada en cada instante, por
medio del decodificador de 1 a N líneas, y al mismo tiempo constituye la dirección de la
selección de los datos correspondiente al digito iluminado, utilizando para esto ultimo
un multiplexor paralelo de cuatro bits. Para el caso de nuestro voltímetro digital de tres
dígitos, solo necesitaríamos un contador de tres bits, un decodificador de tres líneas y un
multiplexor paralelo de cuatro bits de entrada, con tres salidas. Como ejemplo de una
aplicación de excitación con indicadores numéricos a 7 segmentos con multiplexado,
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

28
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------vamos a mostrar el diagrama lógico y de bloques simplificado de un CI de alta densidad
de integración como el MM74C923 de la firma Nacional.
Inicio
Vcc
(18)
R(13)
Arrastre
74C927
Reloj
(12)
÷ 10
÷6
÷ 10
÷ 10
CO(14)
4

Selección
`DS(6)
LATCH
4 BIT

4

4

(15) a

4

(16) b
LATCH
4 BIT

LATCH
4 BIT

LATCH
4 BIT

Latch
(5)

Decodificador
BCD /
7 Seg.
y exitador

(17) c
(1) d
(2) e
(3) f

A
A (7)
B (8)
C (10)
D (11)

B

C

MULTIPLEXOR

(4) g

D

Oscilador
interno

Masa(9)
Este integrado, consta de un contador de cuatro dígitos, un registro de almacenamiento
interno, siete salidas por transistores NPN que proporcionan hasta 80 mA para cada
segmento de los visualizadores, y un circuito interno para multiplexar los cuatro
visualizadores. La multiplexación se hace por medio de un oscilador interno.
El circuito dispone de una entrada reloj (12) para el contador, de tal forma que este
avanza con cada flanco negativo en dicha entrada. Asimismo, consta de una entrada de
iniciación (13) que cuando se pone a nivel alto, el contador pasa a cero y la salida de
acarreo (14) pasa a nivel bajo.
Para el control del registro de almacenamiento interno, formado por cuatro basculas por
década del contador, dispone de una entrada de validación (5) que cuando se produce
una transición de nivel bajo a alto, el numero almacenado en el contador pasa al
registro.
La entrada de selección de digito (6), cuando esté a nivel alto, se mostrará el número en
el contador; cuando este a nivel bajo, se seleccionará el número que se halla en el
registro de almacenamiento.
El multiplexor, genera cuatro salidas internas y cuatro externas A, B, C, y D. Las
internas se utilizan para realizar el multiplexado sobre las salidas de las básculas hacia
el decodificador BCB/7 seg. El grupo de cuatro básculas seleccionadas se conecta a las
cuatro salidas comunes, mientras el resto de las básculas permanece con alta impedancia
de salida (control triestado).
Las salidas externas A(7), B(8), C(10) y D(11), son las encargadas de seleccionar a cada
visualizadores, en sincronismo con el correspondiente dato BCD convertido a 7 seg. La
excitación de los segmentos (LED o LCD) se realiza a través de las salidas externas
a(15), b(16), c(17), d(1), e(2), f(3) y g(4).La próxima figura, muestra el conexionado
básico de los terminales de excitación de los visualizadores:
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

29
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------a
R
b
c
74C927

d
e
f

g
A B C D

Como característica notable de este circuito podemos decir que la tensión de
alimentación puede ser de 3 a 6 volt (TTL) y el margen de ruido es de 1 volt.
Respecto al contador, esta formado por tres décadas y una éxada, de forma tal que el
segundo digito más significativo divide por seis. Una aplicación de este integrado,
tomado como ejemplo de la multiplexación de las salidas, es en los temporizadores para
visualizar los tiempos transcurridos, permitiéndonos contar décimas de segundo,
segundos y minutos, si utilizamos un reloj externo de 10 HZ.
Para finalizar el tema respecto al voltímetro digital, podemos decir que el avance
que ha tenido la microelectrónica, en estos últimos años, ha permitido disponer
prácticamente en un solo chips, todos los bloques funcionales de un voltímetro
digital (convertidor A/D mas excitador display) a precios muy accesibles.
ADQUISICION DE DATOS CON LOS CONVERTIDORES A / D
Como lo hemos dicho al principio del tema de los convertidores D/A y ADC, existen
muchas aplicaciones donde los datos analógicos se deben “digitalizar” para transferirlos
a la memoria de una computadora. Este proceso, mediante el cual la computadora
adquiere estos datos analógicos digitalizados, se denomina “adquisición de datos” y la
electrónica que lo realiza, se denomina en la jerga de la computación, “placa de
adquisición de datos”. Esta placa, tiene todos los componentes necesarios para realizar
la conversión A/D, como así también de los amplificadores o atenuadores para
adaptación de la señal de entrada a las distintas resoluciones. El control de esta placa se
realiza a través de la computadora mediante un programa específico. La adquisición de
datos se realiza como ya lo hemos mencionado, tomando muestras de la señal analógica
(muestreo) a intervalos de tiempo menores a la máxima frecuencia contenida en esta
señal (teorema del muestreo de Nyquist).
La computadora puede hacer varios procesos con los datos adquiridos, dependiendo de
la aplicación. Por ejemplo en una aplicación de almacenamiento de una grabación
digital de audio, video o un osciloscopio digital, la microcomputadora interna guarda
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

30
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------los datos en una memoria para luego, tiempo después transferirlos a un DAC y
reproducirlo nuevamente como señal analógica. En una aplicación de control de
procesos, la computadora examina los datos o realiza un determinado algoritmo de
control, para posteriormente determinar que salidas de control debe generar. Veamos el
diagrama simplificado de este procedimiento:
Vi(analogica)
Reloj
Inicio

MICROCOMPUTADORA

____
FDC

ADC de rampa
digital 8 bits

Datos
8 bits

Vo (tensión a la salida del DAC interno del ADC
Vi (señal analógica)
Vi

Vo
Inicio
____
FDC

t
to

t1
t2
00001000 00000101
dato1
dato2

t3
00000011
dato3

t4
00000011
dato4

Datos que se van cargando en la
memoria de la computadora
La figura anterior muestra como la microcomputadora, mediante un programa especial
para ese fin, se conecta con el ADC tipo rampa digital, para adquirir los datos digitales
de la representación de la tensión analógica Vi. La computadora genera los pulsos de
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

31
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------“inicio” para iniciar una nueva conversión A/D. La señal negada FDC ( fin de
conversión), generada por el convertidor, es monitoreada por la computadora, para
determinar el momento que finaliza la conversión, para posteriormente transferir el
resultado digital, a la memoria de la computadora.
En el dibujo se observa la señal Vi (línea continua), la tensión en escalera Vo del DAC
interno, que comienza a incrementarse cuando se da la orden de inicio. Cuando Vo
iguala y supera a Vi, se completa la conversión pasando la señal ‘FDC a un valor bajo.
El valor del contador interno del ADC se transfiere a la salida (bus de datos) y la
computadora mediante una instrucción del programa, lo guarda en su memoria.
Nuevamente genera otra señal de “inicio”, para repetir el procedimiento comentado.
Si posteriormente quisiéramos reconstruir la señal digitalizada, la computadora,
mediante otro “programa especial” transfiere los datos guardados a un DAC en el
mismo orden con que fueron tomados y con el mismo intervalo de tiempo. La salida del
DAC presentara una señal escalonada, haciéndola pasar por un filtro RC pasabajo se
puede obtener la forma de onda original.

Vi

Señal analógica a digitalizar
y guardar en memoria de la
computadora

t

V

Reproducción señal
digitalizada
Reproducción señal
digitalizada y
filtrada

t

Como ejemplo practico y real de la conexión entre un convertidor A/D y el
microprocesador de una computadora, presentaremos al CI ADC084. Este circuito
integrado, comercializado por varios fabricantes, es un CI CMOS de 20 terminales y
realiza la conversión analógica a digital usando el método de aproximaciones sucesivas.
Este CI ha sido diseñado para que pueda ser interconectado fácilmente a un bus de datos
de un microprocesador, por lo que la salida de datos digitales es triestado de 8 bits. Por
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

32
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------esta razón, los nombres de algunas de las entradas y salidas, se basan en funciones que
son comunes a sistemas soportados con microprocesadores. Veamos primero el CI con
los nombres y ubicación de los terminales:
Vcc(+5V)
(20)
VENT(+)

(6)

(11) D7

VENT(-)

(7)

(12) D6

GND(analogica) (8)

(13) D5

Vref/2

(9)

(14) D4

CLK(out)

(19)

CLK(int)

(4)

___
CS
__
RD
__
WR

CAS
ADC0804
8-bits

(15) D3

Salidas
digitales

(16) D2
(17) D1

(1)

(18) D0

(2)

____
(5)INTR

(3)
(10)

GND(digital)

Similar al ADC 0808 ya estudiado, tiene dos entradas analógicas, VENT(+)(6) y
VENT(-)(7), que permiten tener “entradas diferenciales”. De esta forma, la entrada
analógica real, resulta de la diferencia en los voltajes aplicados a estos terminales:
VENT = VENT(+) - VENT(-)
Cuando realizamos conversiones con una sola tensión, esta se aplica a VENT(+) y
VENT(-) se conecta a la “tierra analógica” GNDanalogica(8). Durante la operación normal,
el convertidor utiliza Vcc= +5 V como voltaje de referencia y la entrada analógica
puede variar de 0 a 5 V a limite de escala.
El voltaje analógico de entrada es convertido a una salida digital de 8 bits de tipo
triestado. Con 8 bits, la resolución resulta:
Resolución absoluta = 5 V / 255 = 19,6 mV.
Para el funcionamiento del registro de aproximaciones sucesivas, el CI tiene un
oscilador interno que produce una frecuencia dada por la expresión:
f = 1 / (1.1.R.C)
Siendo R y C componentes conectados en serie, a los terminales externos CLKout(19),
CLKIN(4) y GNDdigital(10). También es posible usar un reloj externo, conectado al
Terminal CLKIN(10).

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

33
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Para R = 10 K y C = 150 pF resulta f = 606 KHZ: con este valor de frecuencia, el
tiempo de conversión es de 100µseg. aprox.
Presenta además conexiones a tierra separadas para los voltajes digitales y analógicos.
El Terminal (8) es la tierra analógica que esta conectado al punto de referencia común
del circuito analógico el cual se esta generando el voltaje analógico. El Terminal (10) es
la tierra digital que usan todos los dispositivos digitales del sistema (tienen diferentes
símbolos). La tierra digital es inherentemente “ruidosa” debido a los cambios rápidos de
corriente que ocurren cuando los dispositivos digitales cambian de estado. Aunque no es
necesario usar una tierra analógica separada, hacerlo asegura que el ruido de la tierra
digital no cause conmutación prematura del comparador analógico interno del ADC.
El Terminal ‘CS (selección del chips) debe estar en su estado activo BAJO (0) para que
las entradas ‘RD y ‘WR tengan efecto. Con ‘CS en ALTO (1), las salidas digitales
están en el estado de alta impedancia (Hi-Z) y no se puede llevar a cabo ninguna
conversión.
El Terminal ‘RD (leer) se utiliza para habilitar los búferes de salida digitales. Con
‘CS=’RD= 0, los terminales de salida digital (D7….D0) presentaran los niveles lógicos
resultado de la ultima conversión A/D. Luego el microcomputador puede “leer”(buscar)
este valor de dato digital convertido en el bus de datos del sistema.
El Terminal ‘WR (escribir), en BAJO (0) se utiliza para dar inicio a una nueva
conversión. Tiene esta denominación, (WRITE) dado que en una aplicación común del
microcomputador, utiliza un pulso de WRITE (similar a escribir en una memoria) para
iniciar la conversión.
El Terminal ‘INTR pasa a ALTO (1) cuando se inicia la conversión y retornara a BAJO
(0) para señalar el fin de la conversión. Tiene esta denominación, porque normalmente
se conecta a la entrada de INTERRUPCION del microprocesador para llamar la
atención de este último e indicarle que los datos convertidos están listos para su lectura.
El Terminal Vref/2 es una entrada opcional que puede utilizarse para reducir el voltaje
interno de referencia y por lo tanto cambiar el intervalo analógico de entrada que el
convertidor puede manejar. Cuando esta entrada no esta conectada permanece en Vcc/2
ya que Vcc se esta usando como voltaje de referencia. Conectando un voltaje externo, la
referencia interna cambia al doble de este valor y entonces el intervalo analógico de
entrada cambia de igual forma.

Vref/2 Intervalo analógico de voltaje (V) Resolución (mV)
abierto
0—5
19,6
2,25
0—4,5
17,6
2,0
0—4
15,7
1,5
0—3
11,8

Veamos a continuación el conexionado principal de una “placa de adquisición de
datos” respecto a las conexiones del conversor ADC y el microprocesador:

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

34
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------+Vref
Fuente de
alimentación
+Vcc(5 V)

+
10 K

1,5 V

-

Entrada
analogica Bus de datos
0,5 – 3,5 V
10 K

VENT(+) Vcc
0,5 V VENT(-)
GND(analog.)

Vi

Vref/2
R
10 K

CLK(out)
CLK(int)
___
CS
__
RD
__
WR

C
150 pF

D7
D6
D5
D4
D3
D2
D1
D0
____
INTR

GND(digit.)

Microprocesador

D7 Vcc
D6
D5
D4
D3
D2
D1
D0
____
INTR
___
RD
___
WR
GND

Bus
direcc
.

Logica de
decodificacion
de direcc.

__
CS
__
WR
__
RD
____
INTR
Línea
de
datos

Hi-Z
Inicio
conversión

100 µseg.

Fin
Conversión

Datos
validos

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

35
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------La microcomputadora, mediante un programa dedicado, controla cuando debe tener
lugar una conversión, generando las señales ‘CS y ‘WR. Luego adquiere los datos de
salida del ADC generando las señales ‘CS y ‘RD, después de detectar una salida baja en
‘INTR, generada por el ADC, indicando fin de conversión. Esta señal baja provoca una
interrupción al programa principal, pasando a ejecutar un subprograma que genera las
señales indicadas ‘CS y ‘RD.
Las formas de ondas de las señales que intervienen, muestran su actividad durante el
proceso de adquisición de datos. En este caso vemos que ‘INTR pasa a alto cuando ‘CS
y ‘WR son bajos, pero el proceso de conversión no se inicia hasta que ‘RW pase a alto.
Asimismo, las líneas de datos de salida del ADC están en estado de alta impedancia
hasta que la computadora activa ‘CS y ‘RD. En ese punto se habilitan los búferes de
salida de datos conectándose eléctricamente al bus de datos del microprocesador. Las
líneas de datos retornan al estado de alta impedancia cuando ‘CS o bien ‘RD retornan al
estado alto. Los datos recibidos en el bus de datos, mediante una instrucción al efecto es
guardado en la memoria del sistema, para luego ser procesado.
En la aplicación presentada para este convertidor, la señal de entrada varía en un
intervalo de 0,5 a 3,5 volt. Con el propósito de usar por completo la resolución de 8 bits,
el A/D se debe acoplar a las especificaciones de las señales analógicas de entrada. En
este caso, el rango límite de escala es de 3,0 V. Como la señal se desplaza en 0,5 volt de
tierra, entonces para obtener un desplazamiento de este valor, se le aplica un voltaje
similar en la entrada VENT(-) , estableciendo a 0,5 como valor de referencia de 0. El
intervalo de 3,0 V se establece colocando una tensión de 1,5 V en Vref/2 que establece
en 3 volt el intervalo analógico a convertir. De esta manera al valor real de la tensión
analógica de 0,5 volt le corresponderá el valor binario 00000000 (00Hex) y al valor 3,5
volt el 11111111(FF Hex). La resolución será en este caso de 11,8 mV.
Un aspecto importante para destacar, esta relacionado al “ruido” generado por el
sistema digital. De allí la importancia de separar los caminos de las tierras analógicas de
las digitales en la placa soporte, como así también establecer trayectorias de baja
resistencia; de la misma manera, respecto a las trayectorias de las fuentes de
alimentación que deben separarse y emplear muchos capacitores de desacoplamiento
(típico 0,01 µF) muy cerca de cada conexión de la fuente y tierra.
Microcontroladores con conversores A/D
Algunos de dispositivos electrónicos de alta densidad de integración, denominados
microcontroladores (microcomputadora en un solo chips prácticamente), que se utilizan
para realizar controles incorporados al propio sistema, (hornos microondas, aires
acondicionados etc.), disponen de módulos convertidores A/D. Por ejemplo el
microcontrolador PIC 16F87X poseen un conversor A/D de 10 bits de salida
(resolución) con 5 canales de entrada analógica. La resolución de cada bit es función de
la tensión de referencia que se utilice.
Resolución = [Vref(+) – Vref (-) ] /1024.
La técnica usada para la conversión en estos microcontroladores es la de
aproximaciones sucesivas. Para confeccionar el programa de aplicación del conversor
A/D, solamente requiere la manipulación de cuatro registros (mediante instrucciones del
programa afín); en dos de ellos se obtiene el valor convertido y los otros dos se utilizan
para programar las entradas, y control del A/D.

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

36
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------OSCILOSCOPIO DE ALMACENAMIENTO DIGITAL
A continuación, como ejemplo final de las aplicaciones de los convertidores A/D y D/A,
desarrollaremos los conceptos fundamentales en que están basados los osciloscopios de
almacenamiento digital, abreviadamente denominados DSO.
Adquisición de datos

Vext
.

Amplificador
vertical

Vi

Amplif.
bufer

Disparo
interno
Señal externa
de disparo
Control base
de tiempo

S&H

ADC

Circuitos de
control con
microprocesadores

Reloj de muestreo

Amplificador
horizontal
DAC

CRT

Contador
base de
tiempo

Contador de
direcciones

DAC

Memoria

Amplificador
vertical
Bloque para visualización de datos

Almacenamiento datos digitalizados

Los osciloscopios digitales presentan muchas ventajas, respecto a los convencionales
que miden en tiempo real y respecto a aquellos que memorizan la imagen de la forma de
onda como cargas electrizas en pantallas recubiertas de fósforo (CSO)
__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

37
UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA
8-2 Convertidores de señales analógicas a digital.
---------------------------------------------------------------------------------------------------Los DSO pueden almacenar las formas de ondas durante un tiempo indefinido, dado
que los datos digitalizados de las señales eléctricas que se quieren observar y medir, se
almacenan en una memoria semiconductora (con Flip Flop); en un CSO, la imagen se
degrada paulatinamente con el tiempo.
Los DSO pueden, en algunos modelos colocar en cualquier parte de la pantalla CRT, la
forma de onda y pueden cambiar sus escalas verticales y horizontales, para adaptarse a
la medición. También es posible almacenar varias formas de ondas para luego
imprimirlas en una impresora estándar.
La figura anterior, nos muestra el diagrama en bloques simplificado de un DSO. La
operación de control y sincronización, la realiza el bloque identificado como “circuitos
de control, que dispone de un microprocesador que ejecuta un programa de control
almacenado en una memoria ROM (de solo lectura). El subsistema que corresponde a la
adquisición de datos, dispone de los circuitos de “muestreo, retención y ADC”, cuya
misión es la de muestrear y digitalizar la señal de entrada. La frecuencia de muestreo se
determina mediante “el reloj de muestreo” proveniente de los controles de base de
tiempo hacia los circuitos de control. Los datos digitalizados se almacenan en la
memoria. El bloque de control, se encarga de las “direcciones de almacenamiento” de
tal forma que los datos sucesivos convertidos a digital de la señal de entrada, sean
guardados en direcciones en direcciones de memoria sucesivas. Esto se realiza,
mediante la actualización continua del “contador de direcciones” de la memoria.
Cuando la memoria esta llena, el siguiente punto de datos del ADC se almacena en la
primera localización de la memoria, escribiendo sobre el dato anterior y así
sucesivamente. Este almacenamiento continua hasta que el bloque de “control recibe
una señal de “disparo externo o interno“de la forma de onda de entrada. Cuando este se
produce, el sistema detiene la adquisición de nuevos datos y cambia al modo de
operación de visualización, en donde todos o partes de los datos de la memoria se
visualizan de manera repetitiva en el tubo de rayos catódicos.
Para la visualización, se usan dos DACs para proporcionar los voltajes de deflexión
horizontal y vertical para el CRT. Los datos de la memoria producen la deflexión
vertical del cañón de electrones, en tanto que el “contador de base de tiempo” (que
cuenta la cantidad de muestras tomadas durante el tiempo de adquisición) proporciona
la deflexión horizontal en forma de una señal de barrido escalonada (diente de sierra
escalonado). El bloque de control sincroniza la operación de visualización
incrementando el “contador de direcciones” de la memoria y el “contador de base de
tiempo” simultáneamente, de modo que a cada escalón horizontal del cañón de
electrones se acompaña un nuevo valor de datos de la memoria al DAC vertical
Los contadores se reciclan de manera continua, de manera que los puntos de datos
almacenados se vuelven a graficar en forma repetitiva en la pantalla. La visualización de
la pantalla consta de puntos discretos que representan los diversos puntos de datos, pero
el numero de datos por lo general es tan grande (1000 o mayor) que da la sensación
visual de una forma de onda uniforme y continua. La operación de visualización de una
señal memorizada termina cuando el operador oprime un botón del panel frontal del
equipo que da la orden para iniciar un nuevo ciclo de adquisición de datos.
Esta secuencia de operaciones del DSO se aplica por ejemplo en el audio digital. La
señal captada por un micrófono (señal analógica) se digitaliza en un DAC, se almacena
en cinta o disco magnético, disco óptico o memoria semiconductora, para luego
reproducirlo en un parlante (señal analógica), previo paso por un DAC.

__________________________________________________________________
Apunte de cátedra
Autor: Ing. Domingo C. Guarnaschelli

38

Más contenido relacionado

La actualidad más candente

Tiristores, características, aplicaciones y funcionamiento.
Tiristores, características, aplicaciones y funcionamiento.Tiristores, características, aplicaciones y funcionamiento.
Tiristores, características, aplicaciones y funcionamiento.J Luis Salguero Fioratti
 
4.TDM Multiplexacion por division de tiempo
4.TDM Multiplexacion por division de tiempo4.TDM Multiplexacion por division de tiempo
4.TDM Multiplexacion por division de tiempoEdison Coimbra G.
 
Electronica polarizacion del fet
Electronica  polarizacion del fetElectronica  polarizacion del fet
Electronica polarizacion del fetVelmuz Buzz
 
5 polarizacion divisor de voltaje del transistor bjt
5 polarizacion divisor de voltaje del transistor bjt5 polarizacion divisor de voltaje del transistor bjt
5 polarizacion divisor de voltaje del transistor bjtAndresChaparroC
 
Compensadores adelanto-y-atraso
Compensadores adelanto-y-atrasoCompensadores adelanto-y-atraso
Compensadores adelanto-y-atrasoxino7
 
Modulación digital con portadora análoga
Modulación digital con portadora análogaModulación digital con portadora análoga
Modulación digital con portadora análogaJoaquin Vicioso
 
Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.Mayra Peña
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Miguel Brunings
 
Programacion en WinCupl
Programacion en WinCuplProgramacion en WinCupl
Programacion en WinCuplGilbert_28
 
Amplificadores operacionales con funciones de transferencia
Amplificadores operacionales con funciones de transferenciaAmplificadores operacionales con funciones de transferencia
Amplificadores operacionales con funciones de transferenciaMartín E
 
2.Datos y señales en comunicaciones electrónicas
2.Datos y señales en comunicaciones electrónicas2.Datos y señales en comunicaciones electrónicas
2.Datos y señales en comunicaciones electrónicasEdison Coimbra G.
 
codificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolarcodificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolarthejp
 
CAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOS
CAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOSCAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOS
CAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOSStudent A
 
05 respuesta en el tiempo de un sistema de control
05   respuesta en el tiempo de un sistema de control05   respuesta en el tiempo de un sistema de control
05 respuesta en el tiempo de un sistema de controlreneej748999
 

La actualidad más candente (20)

Clases Amplificadores Operacionales
Clases Amplificadores OperacionalesClases Amplificadores Operacionales
Clases Amplificadores Operacionales
 
Tiristores, características, aplicaciones y funcionamiento.
Tiristores, características, aplicaciones y funcionamiento.Tiristores, características, aplicaciones y funcionamiento.
Tiristores, características, aplicaciones y funcionamiento.
 
4.TDM Multiplexacion por division de tiempo
4.TDM Multiplexacion por division de tiempo4.TDM Multiplexacion por division de tiempo
4.TDM Multiplexacion por division de tiempo
 
Electronica polarizacion del fet
Electronica  polarizacion del fetElectronica  polarizacion del fet
Electronica polarizacion del fet
 
5 polarizacion divisor de voltaje del transistor bjt
5 polarizacion divisor de voltaje del transistor bjt5 polarizacion divisor de voltaje del transistor bjt
5 polarizacion divisor de voltaje del transistor bjt
 
Amplificador Operacional Lab Nº4
Amplificador Operacional Lab Nº4Amplificador Operacional Lab Nº4
Amplificador Operacional Lab Nº4
 
3.4. Configuración en Emisor Común
3.4. Configuración en Emisor Común3.4. Configuración en Emisor Común
3.4. Configuración en Emisor Común
 
Compensadores adelanto-y-atraso
Compensadores adelanto-y-atrasoCompensadores adelanto-y-atraso
Compensadores adelanto-y-atraso
 
Modulación digital con portadora análoga
Modulación digital con portadora análogaModulación digital con portadora análoga
Modulación digital con portadora análoga
 
Practica0,1,2,3,4
Practica0,1,2,3,4Practica0,1,2,3,4
Practica0,1,2,3,4
 
Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
 
Programacion en WinCupl
Programacion en WinCuplProgramacion en WinCupl
Programacion en WinCupl
 
Amplificadores operacionales con funciones de transferencia
Amplificadores operacionales con funciones de transferenciaAmplificadores operacionales con funciones de transferencia
Amplificadores operacionales con funciones de transferencia
 
2.Datos y señales en comunicaciones electrónicas
2.Datos y señales en comunicaciones electrónicas2.Datos y señales en comunicaciones electrónicas
2.Datos y señales en comunicaciones electrónicas
 
codificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolarcodificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolar
 
Compuertas logicas
Compuertas logicasCompuertas logicas
Compuertas logicas
 
CAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOS
CAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOSCAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOS
CAPACIDAD DE CANAL DE COMUNICACIÓN DE DATOS
 
Sesión 6: Teoría Básica de Transistores BJT
Sesión 6: Teoría Básica de Transistores BJTSesión 6: Teoría Básica de Transistores BJT
Sesión 6: Teoría Básica de Transistores BJT
 
05 respuesta en el tiempo de un sistema de control
05   respuesta en el tiempo de un sistema de control05   respuesta en el tiempo de un sistema de control
05 respuesta en el tiempo de un sistema de control
 

Destacado

8 1 convertidor-digital-analogico
8 1 convertidor-digital-analogico8 1 convertidor-digital-analogico
8 1 convertidor-digital-analogicoRonald_Paul
 
8 2 convertidor-analogico_-digital (1)
8 2 convertidor-analogico_-digital (1)8 2 convertidor-analogico_-digital (1)
8 2 convertidor-analogico_-digital (1)henry1860
 
Convertidor digital analógico
Convertidor digital analógicoConvertidor digital analógico
Convertidor digital analógicoRafael Bayareh
 
3 2 circuitos-disparo
3 2 circuitos-disparo3 2 circuitos-disparo
3 2 circuitos-disparoAxtridf Gs
 
conversores analogicos digitales y digitales analogico
conversores analogicos digitales y digitales analogicoconversores analogicos digitales y digitales analogico
conversores analogicos digitales y digitales analogicoLuiS YmAY
 
Convertidor digital analógico
Convertidor digital   analógicoConvertidor digital   analógico
Convertidor digital analógicoAnaCegarra
 
Tacometro digital
Tacometro digitalTacometro digital
Tacometro digitalsant1288
 
Convertidores analogicos y digitales.
Convertidores analogicos y digitales.Convertidores analogicos y digitales.
Convertidores analogicos y digitales.Fidel Delgado
 

Destacado (15)

8 1 convertidor-digital-analogico
8 1 convertidor-digital-analogico8 1 convertidor-digital-analogico
8 1 convertidor-digital-analogico
 
8 2 convertidor-analogico_-digital (1)
8 2 convertidor-analogico_-digital (1)8 2 convertidor-analogico_-digital (1)
8 2 convertidor-analogico_-digital (1)
 
Convertidor digital analógico
Convertidor digital analógicoConvertidor digital analógico
Convertidor digital analógico
 
3 2 circuitos-disparo
3 2 circuitos-disparo3 2 circuitos-disparo
3 2 circuitos-disparo
 
Multiplicador 3bits
Multiplicador 3bitsMultiplicador 3bits
Multiplicador 3bits
 
conversores analogicos digitales y digitales analogico
conversores analogicos digitales y digitales analogicoconversores analogicos digitales y digitales analogico
conversores analogicos digitales y digitales analogico
 
Convertidor digital analógico
Convertidor digital   analógicoConvertidor digital   analógico
Convertidor digital analógico
 
Tacometro digital
Tacometro digitalTacometro digital
Tacometro digital
 
Multiplicador binario
Multiplicador binarioMultiplicador binario
Multiplicador binario
 
Tacómetro
TacómetroTacómetro
Tacómetro
 
Tacometro
TacometroTacometro
Tacometro
 
Convertidores analogicos y digitales.
Convertidores analogicos y digitales.Convertidores analogicos y digitales.
Convertidores analogicos y digitales.
 
Arduino practicas
Arduino practicasArduino practicas
Arduino practicas
 
Señales analogicas
Señales analogicasSeñales analogicas
Señales analogicas
 
Actuadores en el Automovil
Actuadores en el AutomovilActuadores en el Automovil
Actuadores en el Automovil
 

Similar a 8 2 convertidor-analogico_-digital

Similar a 8 2 convertidor-analogico_-digital (20)

Teoria -sistemas_de_control_digitales
Teoria  -sistemas_de_control_digitalesTeoria  -sistemas_de_control_digitales
Teoria -sistemas_de_control_digitales
 
Pead conversores
Pead   conversoresPead   conversores
Pead conversores
 
Comunicacion digital
Comunicacion digitalComunicacion digital
Comunicacion digital
 
Resumen machin
Resumen machinResumen machin
Resumen machin
 
Conversion señal Analógica a Digital Y viceversa
Conversion señal Analógica a Digital Y viceversaConversion señal Analógica a Digital Y viceversa
Conversion señal Analógica a Digital Y viceversa
 
Digi analo-comunicacion1
Digi analo-comunicacion1Digi analo-comunicacion1
Digi analo-comunicacion1
 
Utea cd-03-me03-cd tx-digital2_2015-ii
Utea cd-03-me03-cd tx-digital2_2015-iiUtea cd-03-me03-cd tx-digital2_2015-ii
Utea cd-03-me03-cd tx-digital2_2015-ii
 
Sistemas Digitales UTM
Sistemas Digitales UTMSistemas Digitales UTM
Sistemas Digitales UTM
 
comunicacion serial pc-pc
comunicacion serial pc-pccomunicacion serial pc-pc
comunicacion serial pc-pc
 
Unidad i digitales[1]
Unidad i digitales[1]Unidad i digitales[1]
Unidad i digitales[1]
 
CAD conversion analogico digital
CAD conversion analogico digitalCAD conversion analogico digital
CAD conversion analogico digital
 
Conversores exposicion
Conversores exposicionConversores exposicion
Conversores exposicion
 
Conversion de tipos de señal
Conversion de tipos de señalConversion de tipos de señal
Conversion de tipos de señal
 
Analisis
AnalisisAnalisis
Analisis
 
Analisis
AnalisisAnalisis
Analisis
 
Analisis
AnalisisAnalisis
Analisis
 
Analisis
AnalisisAnalisis
Analisis
 
Digital Modulations and Sinchonization Techniques
Digital Modulations and Sinchonization TechniquesDigital Modulations and Sinchonization Techniques
Digital Modulations and Sinchonization Techniques
 
SISTEMA DE COMUNICACION II
SISTEMA DE COMUNICACION IISISTEMA DE COMUNICACION II
SISTEMA DE COMUNICACION II
 
Cad
CadCad
Cad
 

8 2 convertidor-analogico_-digital

  • 1. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------CONVERTIDORES DE ANALOGICO A DIGITAL Un convertidor analógico / digital (ADC) toma el voltaje analógico de entrada y después de un cierto tiempo genera un código digital de salida, que representa la magnitud de esa entrada analógica. Este proceso, es más complejo que la conversión digital-analógica, dado que se deben tener en cuenta varias consideraciones respecto a la señal a convertir, como así también se requieren varias etapas de procesamiento para lograrlo. En la conversión ADC se han desarrollado y empleado muchos métodos. Hoy en día muchos de estos métodos, están disponibles como circuitos integrados en un chips o formando parte de un sistema mas complejo, por ejemplo, un microcontrolador. Para comprender la teoría y métodos que se emplean en la conversión analógica a digital, debemos previamente conocer los principios del “teorema del muestreo”, el “multiplexado y demultiplexado en el tiempo”, “la cuantificación” y la “codificación” de señales, temas que abordaremos de manera simplificada. Teorema del muestreo Este teorema lo enunciaremos de la siguiente forma, sin demostrarlo: “ Si una señal continua, S(t), en su análisis espectral, tiene una banda de frecuencias tal que fm sea la mayor frecuencia dentro de esa banda, la señal S(t) podrá ser reconstruida sin distorsión, a partir de muestras tomadas a una frecuencia fs, siendo fs ≥2. fm. En la próxima figura, mostramos un esquema simplificado del proceso de muestreo de una señal analógica o continua: Interruptor electrónico S(t): señal a muestrear τ Sτ(t): señal muestreada Ts δ(t) Señal de muestreo que controla al interruptor τ : tiempo de muestreo Ts: periodo de muestreo δ(t) t S(t) t Sτ(t) t __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 1
  • 2. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------En la figura anterior vemos que S(t) es la señal analógica que se va a muestrear y esta compuesta por una suma de señales (armónicas) de varias frecuencias, siendo “fm” la frecuencia mayor. δ(t) es la señal que actúa sobre el interruptor electrónico y fija el muestreo de la señal S(t) con una frecuencia “fs”(periodo Ts); el tiempo de muestreo vale “τ”. A la salida del circuito de muestreo (interruptor) tenemos la señal muestreada que la denominamos Sτ(t). Esta ultima señal, en su análisis espectral, se demuestra que esta compuesta por una suma de varias señales de distintas frecuencias. Del conjunto de esas frecuencias estarán las frecuencias originales de la señal a muestrear “S(t)” mas señales que tienen frecuencias diferencias y sumas de las señales originales con “fs” (fs-fm) y (fs+fm), 2fs””, etc. (son teóricamente infinitas señales). De todas ellas, la mas próxima a fm es (fs-fm). Ahora bien, si quisiéramos reconstruir la señal original S(t) de la señal muestreada Sτ(t), podemos hacerlo empleando un filtro pasa bajo, que solamente deje pasar las frecuencias originales, hasta su valor máximo fm, y rechace todas las frecuencias superior a este valor. La función de transferencia del filtro, tendrá que ser como muestra la siguiente figura: H(f) Sτ(t) fm fs-fm Filtro Pasa bajo S(t) f Como podemos observar en la figura, la función de transferencia del filtro, debe ser plano hasta la frecuencia fm, y luego debe caer bruscamente a cero, por encima de este valor, antes que alcance el valor fs-fm. Si hubiéramos muestreado con una frecuencia 2.fs < fm, la frecuencia de la componente de la señal muestreada de valor (fs-fm), seria menor que fm , ( (fs-fm)< fm ), lo que haría imposible separarla con el filtro anterior. Multiplexación y demultiplexación de señales Mediante la aplicación del teorema del muestreo, se pueden transmitir varias señales en el tiempo por un mismo canal de comunicación (canal de radiofrecuencia digital, dos conductores eléctricos, fibra óptica) . Para lograrlo, es necesario muestrear varias señales sucesivamente S1 S2….Sn y las señales muestreadas Sτ1, Sτ2…..Sτn, se las envían por el canal de comunicación, intercaladas en el tiempo. A este sistema de comunicación, se le denomina “multiplexación en el tiempo”. Al otro extremo del canal, se deben separar las distintas señales muestreadas, que fueron enviadas, proceso denominado “demultiplexación”, para luego pasarlas por un filtro pasa bajo y reconstruir las señales originales. La siguiente figura, nos muestra, en forma simplificada, el proceso de la multiplexación y demultiplexación de señales eléctricas: __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 2
  • 3. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------- MUX S1 Canal de comunicación S2 DEMUX S1 S2 Sτ1, Sτ2…..Sτn Sn Señales para ser enviadas por el canal de comunicación Sn Señales transmitidas por el canal de comunicación El sistema multiplexor y demultiplexor, están representados por conmutadores rotativos sincronizados. En la práctica, son circuitos electrónicos similares a los presentados en la materia ELECTRONICA I (subsistemas digitales combinacionales). En este sistema de transmisión de señales, es imprescindible el perfecto sincronismo del “emisor de señales” y el “receptor de señales”, ubicado en los extremos del canal de comunicaciones. Cuantificación y codificación La cuantificación de una señal, consiste en convertir un intervalo de valores continuos que puede tomar la señal, en un valor discreto. De esta manera la señal cuantificada solamente tomara valores discretos o lo que es lo mismo variara a incrementos fijos. Esto significa que dentro del intervalo considerado, los valores que puede tomar la señal sin cuantificar, la señal cuantificada, solamente toma un solo valor. Esto significa, que el proceso de cuantificación, la señal cuantificada, presenta un determinado error respecto a la señal original, dado que la primera se modifica a incrementos finitos. Esto debe ser así, dado que en el procedimiento de codificación, tenemos que limitar los niveles de tensión de la señal, dada la cantidad limitada de códigos (estos códigos dependerán de la cantidad de bits que se utilice). En la práctica para cuantificar una señal, son necesarios dos procedimientos: El primero consiste en muestrear la señal continua de la manera que se explico anteriormente, obteniéndose una señal discreta en el tiempo, con variación continua de magnitud. Luego esta señal debe mantenerse un cierto tiempo, dado que la cuantificación lleva un cierto tiempo realizarla. El segundo procedimiento consiste en la cuantificación propiamente dicha seguida de la codificación. La codificación consiste en asignar un cierto código binario, con varios bits, al valor cuantificado. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 3
  • 4. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------La función de transferencia de un cuantificador ideal puede ser la que representamos en la siguiente grafica: Vo Vo 5 4 3 2 -2,5 -1,5 1 1,5 2,5 3,5 Vi t -1 -2 -3 -4 -5 Vi Vi: señal continua a muestrear y cuantificar Vo: señal cuantificada t Para el caso planteado en el dibujo anterior tenemos en el cuantificador que los niveles 2,5, -1,5, 0, +1,5, 2,5 etc. son niveles de decisión. Por ejemplo cuando la señal continua tiene valores de tensión comprendidos entre +1,5 y +2,5, el cuantificador asigna una valor fijo de tensión de +2 voltios. Entre -3,5 y -2,5 se asigna el valor -3 voltios y así sucesivamente con los otros valores intervalos de tensión. En gral los intervalos de tensiones de decisión son constantes ∆V = cte. En otros casos ∆V varía según una ley logarítmica, como en el caso de la compresión de la señal. Error de cuantificación Error Vi __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 4
  • 5. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Para el caso donde la diferencia entre niveles de decisión es constante ∆V= cte., el error de cuantificación tiene forma de diente de sierra, como lo muestra la figura anterior. El error de cuantificación será tanto mayor, cuanto mayor sea el desnivel de los escalones de cuantificación. Por lo tanto para alcanzar un error menor, necesitamos recurrir a un elevado número de niveles, con el consiguiente aumento del número de bits del código digital de la magnitud codificada, con la consiguiente complejidad de los circuitos. Codificación de la señal cuantificada La relación entre el tamaño del escalón “∆V”, los niveles de cuantificación “p” y la tensión pico a pico de la señal a convertir “Vpp”, esta dada por: Vpp = ∆V. p En función de los niveles de cuantificación, dependerá la cantidad de bits de los códigos que representan los niveles de tensión de la señal cuantificada. Se deberá cumplir lo siguiente: p ≤ 2 N siendo N, el numero de bits del código binario. Resumiendo, para que una señal analógica pueda ser procesada por un sistema digital, es necesario que la señal analógica pase por las fases de muestreo, cuantificación y codificación. Sistemas empleados en la conversión analógica / digital (ADC) Los convertidores ADC son dispositivos electrónicos que establecen una relación biunívoca entre el valor de la señal a convertir y el código (palabra) digital obtenido. Esta relación se obtiene en la mayoría de los casos, con la ayuda de una tensión de referencia. Su fundamento teórico, como dijimos, esta basado en el teorema del muestreo, la cuantificación y la codificación. Por el método empleado en la conversión, podemos clasificar a los ADC en tres tipos generales: a) Conversores de transformación directa. b) Conversores con transformación (D/A) intermedia auxiliar c) Otros métodos Antes analizar diversos tipos de conversores ADC, veremos el esquema simplificado de una serie de circuitos denominados “de captura o muestreo y mantenimiento o retención (S&H: Simple and Hol): __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 5
  • 6. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Vi Buffer + Buffer + Conmutador electrónico Vo C C/M Esquema en bloque representativo Vi Vo S & H C/M Estos circuitos son los encargados de tomar una muestra (durante un intervalo de tiempo) de la tensión analógica a convertir y el posterior mantenimiento del valor obtenido, durante el tiempo necesario para que se lleve a cabo la conversión A/D. El funcionamiento del circuito anterior, es el siguiente: El convertidor A/D envía por la línea C/M, un pulso de tensión de ancho “τ” que cierra el conmutador, cargando el condensador, durante ese tiempo. Idealmente, durante el tiempo “τ” , el condensador sigue a la tensión de entrada. Terminado este tiempo, el conmutador se abre y C mantiene la carga. (Los buffer son AO realimentados config. seguidor de tensión, con alta impedancia de entrada y baja impedancia de salida). En la próxima figura se puede observar este proceso: Vi Vo=Vc t C/M t El grafico anterior tiene carácter de ideal, dado que durante la carga como la descarga del condensador, el valor real de la tensión, está relacionada con su valor, el valor de las capacidades parásitas y con las resistencias asociadas al circuito. La señal C/M proviene del convertidor A/D, que es el único que conoce cuando se ha completado la conversión de la muestra de tensión tomada. En algunos convertidores el periodo de toma de muestra es constante; en otros, depende de los niveles de tensión muestreados. A continuación detallaremos algunos de los convertidores A/D mas utilizados. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 6
  • 7. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------CONVERTIDOR A/D CON COMPARADOR EN PARALELO VA: entrada analógica muestreada Vref.=+10 V Vi VA S&H Codificador de prioridad C7 7V I7 Salida digital C6 6V I6 C5 5V (MSB) A2 I5 A1 C4 4V I4 A0 C3 3V I3 C2 2V I2 C1 1V I1 Resolución = 1 Volt Entrada Muestreo Analógica Retención Cuantificación Codificación VA C1 C2 C3 C4 C5 C6 C7 A2 A1 A0 0-1V 1-2V 2-3V 3-4V 4-5V 5-6V 6-7V >7V 1 0 0 0 0 0 0 0 Salida digital 1 1 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 El convertidor paralelo o también llamado “instantáneo”, es ADC de mayor velocidad disponible. Este convertidor consta de N comparadores a los cuales se le introducen dos señales simultaneas, una es la señal analógica ya muestreada y la otra una tensión de referencia distinta para cada comparador y que se obtiene de una misma tensión de __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 7
  • 8. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------referencia (Vref.), mediante una red de resistencias conectadas en serie. De esta manera se producen N comparaciones (7 en el dibujo) simultaneas entre la tensión de entrad y las obtenidas desde la referencia. Las salidas de los comparadores se aplican a un codificador, que transforma la información a un código binario procesable. El tiempo de conversión completo, es del orden de los nanosegundos. Dado que la comparación es simultanea en todos los comparadores, a diferencia de otros convertidores, que son secuenciales. El inconveniente principal de este convertidor es su precio dada la gran cantidad de comparadores necesarios cuando se quiere disminuir la resolución o escalón a codificar. Por ejemplo si vamos a codificar con palabras de 8 bits (1 byte) necesitaríamos una cantidad de comparadores dado por: N = 2n – 1 = 28 – 1 = 255 Para este caso necesitaremos también 256 resistencias para generar las tensiones de referencias de los comparadores. Ejemplos de estos convertidores, tenemos al MC10319 de Motorota de 8 bits en el que se utiliza circuitos ECL de alta velocidad; Sus entradas y salidas están adaptadas para ser compatible con TTL. Su tiempo de conversión es menor de 20 ns. El AD9010 de Analog Devices es un convertidor paralelo de 10 bits con un tiempo de conversión menor a 15 ns. CONVERTIDOR A/D CON RAMPA EN ESCALERA C/M Vi S&H Vo - RELOJ Y LOGICA DE CONTROL CONTADOR BINARIO AO + Entrada analógica LATH Y AMPLIFICADO RES DE SALIDA VA/D Vo Salida digital codificada CONVERTIDOR A/D Vo2 VA/D Salida del convertidor A/D Vo1 t1 t2 t __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 8
  • 9. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------A este convertidor también se le llama “A/D de rampa digital” o “A/D contador”. Es el circuito mas sencillos de los convertidores A/D y consta básicamente de los elementos observados en la figura anterior: Reloj y circuito de control, circuito de captura y mantenimiento (S&H), contador digital binario, conversor D/A, comparador, y circuito de salida, consistente en basculas de retención (LATH) y amplificadores adaptadores. El funcionamiento de este convertidor, es el siguiente: Cuando el circuito S & H ha muestreado la señal analógica (ordenado por la señal C/M proveniente del circuito de control), el contador comienza a funcionar contando los impulsos procedentes del reloj. La salida binaria del contador, es convertida por el DAC en una tensión eléctrica (VA/D) a medida que se va realizando la cuenta. Esta ultima tensión, tiene la forma de una escalera y resulta proporcional a la cantidad de pulsos contados. A su vez, la tensión de salida del DAC, es comparada con la tensión muestreada Vo en el comparador. Cuando ambas tensiones se igualan (y la supera en una cantidad VT) la salida del comparador cambia de valor (de o pasa a 1), detiene la cuenta del contador y el ultimo valor digital contado se presenta en la salida completándose la conversión. Luego nuevamente se reinicia el proceso y así sucesivamente. Este convertidor presenta dos inconvenientes importantes que son la baja velocidad y el tiempo de conversión es variable, en función del nivel de señal muestreada. El tiempo de conversión para una determinada tensión de entrada Vi, la podemos determinar de la siguiente forma: t/ Tc = Vi / Vf. escala siendo Tc el tiempo total para fondo de escala Tc = nº máx. que puede contar el contador x periodo de los pulsos reloj = (2N -1). T Despejando el tiempo t, tendremos: t = Vi.Tc / Vf.escala = Vi. (2N -1). T) / V f. escala = Vi. (2N -1) / f . V f. escala N= nº de bits del contador y del código convertido. Problema Un ADC en escalera tiene una tensión de fondo de escala de 10,23 volt y su contador binario tiene una salida de 10 bits, con una frecuencia reloj de 1MHZ.La tensión de cambio del comparador vale VT = 0,1mV.Determinar: a) El código binario equivalente de salida para una tensión de entrada Vo = 3,728 V b) El tiempo de conversión c) La resolución del convertidor A/D Solución: a) Como el contador tiene 10 bits puede contar hasta 210 – 1 =1023 pulsos que se convertirán a la salida del DAC en 1023 escalones. Como Vf. escala= 10,23 voltios, el valor de cada escalón vale: 10,23 V/ 1023 esc. = 10 mV Esto quiere decir que la salida del DAC interno se incrementa cada 10 mV Como la tensión de entrada es de 3,728 Voltios, para que se produzca el cambio en la salida del comparador, la salida de voltaje del DAC interno debe valer: VA/D =3,728 + VT = 3,7281 V o un valor superior. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 9
  • 10. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Para este valor, entonces necesito una cantidad de escalones dado por 3.7281 V/ 10 mV= 372,81= 373 escalones. Cuando se llegue a contar esta cantidad, el comparador cambia el valor de su salida y detiene la cuenta binaria, presentando en la salida, el correspondiente valor digital que le corresponde al decimal 373 37310≡ 01011101012. b) Como la entrada de pulsos al contador se realiza con una frecuencia de 1 MHZ o sea con un periodo T = 1 / f = 1/ 10 MHZ = 1µseg. Y como debe contar hasta 373 decimales, el tiempo de conversión total vale: t = 1µseg. . 373 = 373 µseg. También podríamos haber determinado el tiempo con la formula propuesta: t= Vi. (2N -1) / (f . V f. escala) =(3,728 V.1024)/( 1MHZ. 10,23 V) = 373,16 µseg. c) La resolución de este convertidor corresponde al DAC interno o sea al tamaño del escalón que vale 10 mV. Problema Para el ADC del problema anterior, determinar el intervalo aproximado de la tensión eléctrica analógica, que producirá el mismo resultado digital para: 01011101012≡37310 Solución: Cuando el contador cuente el penúltimo pulso, 37210 , la salida del DAC interno lo convierte a una tensión VA/D = 3,72 V. Ahora bien si la tensión muestreada Vo tiene un valor menor a por lo menos Vo< 3,72 V –VT, el comparador todavía no cambia su salida, permitiendo contar un pulso mas o sea 373. Por lo tanto este seria el límite inferior de Vo que nos daría el valor digital equivalente a la cuenta 373. El otro extremo resulta cuando Vo< 3,73 V –VT, dado que cuando el contador cuente 373, la tensión convertida por el DAC vale VA/D = 3,73 V. Como es superior a Vo, el comparador cambia de estado y detiene la cuenta. Resumiendo: los valores considerados de Vo con el mismo código digital son : 3,72 V –VT > Vo < 3,73 V –VT Como VT es un valor pequeño, podemos decir que la salida digital es la misma entre 3,72 V y 3,73 V de la tensión de entrada analógica Vo. Como vemos la diferencia, corresponde en magnitud, a la resolución del DAC interno Resolución y exactitud del convertidor A/D Resulta interesante comprender los errores asociados cuando se llevan a cabo mediciones con instrumentos digitales. Para ello consideraremos los errores en el convertidor de rampa en escalera. Uno de esos errores se debe a la resolución del DAC interno que hace que la tensión a su salida se incremente en escalones hasta que su valor supere a la tensión Vo. Para mejorarlo, deberíamos disminuir la resolución (escalón), pero siempre existirá una diferencia entre la cantidad real y el valor digital asignado. A esta diferencia, se le denomina error de cuantificación o cuantización. En el problema anterior vimos como para una misma salida digital, la tensión analógica correspondiente, podía tener prácticamente una diferencia de 10 mV, con la asignación __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 10
  • 11. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------del mismo valor digital. De allí que una fuente de error es la resolución del DAC interno. Este error se le asigna aun ADC como +1LSB, o sea al factor de ponderación que corresponde al bit menos significativo. Existen algunos convertidores donde el error de cuantificación lo establecen en ± 1/2 LSB Otro error que aparece en los ADC esta relacionado esta relacionado con la “exactitud” que depende de la presición de los componentes del circuito, como el comparador, las resistencias de presición del DAC interno, de los conmutadores de corriente, de las tensiones de referencia , etc. Una especificación de estos errores, se dan en relación a la tensión de fondo de escala. Por ejemplo una exactitud de 0,01% FS (fondo de escala), indica que la salida puede tener un error del 0,01% de su tensión mas alta. En gral, el error de cuantificación y la exactitud, están dentro del mismo orden de magnitud. Problema Un convertidor analógico-digital de 8 dígitos binarios de salida, tiene una tensión de entrada a plena escala de 2,55 V, produciendo con este valor una salida digital 11111111. El error porcentual que presenta respecto a su valor de plena escala es de 0,1% F.S. Determinar la cantidad máxima que puede diferir la salida VA/D, respecto a la señal de entrada Vo Solución: Como primer paso debemos determinar el escalón del DAC interno. Este vale: Escalón: 2,55 V / ( 28 – 1) = 10 mV Esto significa, de acuerdo al problema anterior que incluso si el DAC interno no presenta imprecisiones, la salida VA/D podría estar desviada, respecto a su valor real (Vo) en una cantidad de 10 mV. Esta diferencia, es el error de cuantificación que no lo podemos inherente al DAC interno donde su valor de salida, que controla al comparador, cambia en escalones de 10 mV. Debemos recordar que VA/D representa el valor convertido a analógico, del código digital binario de la salida del ADC que estamos tratando. Ahora debemos tratar el error debido a las imperfecciones de los elementos del circuito que el fabricante lo especifica como 0,1 % F.S. Este resulta: 0,1% . 2,55 = 0,001 . 2,55 = 2,55 mV Esto significa que VA/D puede estar errado en 2,55 mV de su valor real. De esta forma, el error total posible puede estar en un valor máximo dado por: 10 mV + 2,55 mV = 12,55 mV. Por ejemplo, supongamos que la entrada analógica es de 1,268 V. Si el DAC interno fuera perfecto, la cuenta del contador se detendría en el valor 127 que correspondería a una tensión VA/D = 1,27, mayor que Vo, haciendo que el comparador cambie de estado y detenga la cuenta. Ahora bien si por la imperfecciones del circuito, la tensión VA/D difiere en -2 mV, resultaría menor que Vo y el contador seguiría contando un pulso mas, pasando la cuenta a 128. Con este valor VA/D = 1,28 V y allí quedaría como valor final convertido. La salida del ADC seria 100000002 ≡ 12810 , con lo que el error debido a las imperfecciones del circuito y de cuantificación quedaría: VA/D – Vo = 1,28 – 1268 = 12 mV. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 11
  • 12. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Tiempo de conversión Como ya lo habíamos analizado, el tiempo de conversión se determinaba mediante: t= Vo.( 2N -1). / f . V f.escala De otra forma, representa el tiempo que tarda el contador en llegar a una cuenta determinada. Esta cuenta, la finaliza el comparador cuando VA/D > Vo. El tiempo de conversión máximo será entonces cuando Vo este por debajo del limite de escala, de modo que VA/D pasa al ultimo escalón para activar el contador y detenerse, para volver a contar. Este valor vale para F = 1 MHZ y 10 bits: t máx = (2N -1). / f = ( 210 -1) / 1MHZ = 1023 µseg. Algunos fabricantes, para el caso del convertidor en escalera, suministran como tiempo de conversión, el valor promedio aritmético. Para nuestro ejemplo vale: t promedio = t máx. / 2 =1023/2 = 511,5 µseg. ≈ 2N-1 ciclos reloj. La desventaja principal del método de rampa en escalera, es fundamentalmente el tiempo de conversión, que se incrementa al doble por cada bit que agregamos al contador. Disminuimos la resolución del DAC interno, a costa de aumentar al doble el tiempo de conversión. Por ello, este convertidor no se utiliza en aplicaciones donde se deban convertir señales analógicas que cambian con alta velocidad (frecuencias. altas).Sin embargo para aplicaciones de baja velocidad dada la relativa sencillez del circuito, los hace ventajosos. CONVERTIDOR A/D DE APROXIMACIONES SUCESIVAS Entrada Analógica Vi S&H Vo - RELOJ Y CIRCUITO DE CONTROL REGISTRO DE APROXIMACIONES SUCESIVAS AO + BUFFER DE SALIDA Salida digital VA/D CONVERTIDOR D/A __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 12
  • 13. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Este convertidor ADC, es bastante similar al convertidor en escalera, desde el punto de vista de su diagrama en bloques, con la diferencia apreciable que se sustituyo el contador digital binario por un circuito denominado de “registro de aproximaciones sucesivas”. Este registro, cuando se le da la orden de inicio, comienza colocando a 1 el bit mas significativo (MSB), quedando el resto a cero; o sea por ejemplo para una salida digital de 10 bits, aparece el 10000000002, valor que corresponde a la mitad de la máxima excursión de la tensión de entrada. Este valor digital, mediante el DAC interno es transformada a una tensión analógica “VA/D “que es comparada con la señal analógica de entrada, a convertir. Si la señal “VA/D “ es mayor que Vo, el comparador bascula dando lugar a una señal que hace que el registro cambie su contenido, sustituyendo el 1 del bit mas significativo por un cero y colocando un 1 en el bit de peso inmediatamente inferior, quedando el resto inalterado; el nuevo valor de salida será 01000000002. Este último valor, nuevamente es convertido a señal analógica y comparada nuevamente con la señal Vo. Si en esta comparación, resulta Vo > VA/D, el comparador cambia de estado, haciendo que el registro no modifique el 1 del bit de mayor peso, pero agrega un 1 en el bit inmediatamente inferior, dejando el resto en cero. El proceso se repite “n” veces (“n”, es el numero de bits del código digital de salida), hasta alcanzar el bit de menor peso (LSB). Terminada la secuencia, el valor digital final corresponde al valor convertido de la señal analógica muestreada y cuantificada. La próxima figura, muestra la modificación de los bits del registro de 5 bits, para un determinado valor de tensión analógica a convertir. Vf. escala VA/D Vo Vf.esc. 2 Bit 4 (MSB) 1 1 1 1 1 1 1 1 1 1 Bit 3 0 0 1 0 0 0 0 0 0 0 Bit 2 0 0 0 0 1 1 1 1 1 1 Bit1 0 0 0 0 0 0 1 0 0 0 Bit 0 (LSB) 0 0 0 0 0 0 0 0 1 1 __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 13
  • 14. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Una característica del método de aproximaciones sucesivas es que el valor final convertido VA/D resulta por debajo de la señal analógica Vo, a diferencia del método de rampa, donde el voltaje equivalente, estaba por arriba de Vo. Problema El registro de aproximación sucesiva de un convertidor tiene 8 bits con una resolución de 20 mV. Determinar la salida digital para una tensión analógica de entrada de 2,17 Voltios Solución: Por la resolución, la cantidad de escalones posibles para la tensión a convertir resulta: 2,17/20 = 108,5 escalones Para 108 escalones corresponde VA/D= 108 x 20 mV = 2,16 voltios Para 109 escalones corresponde VA/D= 109 x 20 mV = 2,18 voltios Como la aproximación queda en un valor menor, entonces le corresponde el valor final a 2,16 V < 2,17 V. Por lo tanto la salida del convertidor corresponderá al valor equivalente digital del decimal 108 10810≡ 011011002. Tiempo de conversión del A/D de aproximaciones sucesivas Como el proceso de aproximación se repite en la misma cantidad de pasos, cualquiera sea el valor de la tensión analógica a convertir, entonces el tiempo de conversión es fijo El procesamiento de cada bit toma un ciclo reloj, de modo que el tiempo de conversión total para N bits, resulta: tc = N x 1 ciclo reloj La constancia de éste valor, independiente del valor de Vo, resulta interesante cuando los datos analógicos están cambiando a una frecuencia relativamente rápida. Problema Determinar los tiempos de conversión de dos ADC de 10 bits, uno de rampa en escalera ascendente y otro de aproximaciones sucesivas, que están alimentados con una frecuencia reloj de 500 KHZ Solución: tc.esc max = (2N – 1) x 1/f = 1023 x 2 µseg. = 2046 µseg. (ADC rampa en escalera) tc a.s = N x 1/f = 10 x 2 µseg = 20 µseg.(ADC aproximación sucesiva) Como vemos para la tensión de fondo de escala, el convertidor de aproximación sucesiva es prácticamente 100 veces más rápido que el de escalera. ADC DE RAMPA EN ESCALERA ASCENDENTE Y DESCENDENTE Como hemos analizado, el ADC de rampa ascendente es relativamente lento porque el contador se reestablece a cero al inicio de cada nueva conversión. La escalera de tensiones a la salida del DAC interno, comienza en cero y su nivel se incrementa hasta el punto de conmutación del comparador, que ocurre cuando VA/D supera a Vo. El tiempo que le toma a la escalera restablecerse a cero e incrementarse nuevamente al nuevo valor, se desperdicia. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 14
  • 15. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------En el ADC de rampa en escalera ascendente y descendente, se usa un contador ascendente-descendente para disminuir el tiempo desperdiciado. Este contador cuenta hacia arriba cuando el comparador indique VA/D < Vo y cuenta hacia abajo, cuando VA/D > Vo. De esta manera la salida del DAC (VA/D) se modifica hasta que se produce “el cruce con Vo donde detiene la cuenta. Para un nuevo valor a convertir, ahora el contador no se reestablece a cero sino que parte de su ultima cuenta, incrementándole o decrementándose, según sea el nuevo valor de Vo respecto a VA/D. De esta manera, el tiempo de conversión en este convertidor, se reduce, respecto al de escalera ascendente, pero seguirá siendo variable, en función del valor a convertir. Como la salida del DAC interno sigue a la entrada Vo, a menudo se le denomina “ADC de seguimiento” CONVERTIDORES A/D CON INTEGRADOR Estos convertidores son más sencillos que los anteriores ya que no utilizan DAC interno. Se emplean en aquellos casos en que no se requieren gran velocidad, pero en los que es importante conseguir buena linealidad. Se usan frecuentemente en voltímetros digitales. Existen dos tipos a saber: el de rampa única y el de doble rampa. Convertidor A/D de rampa única Conmutador electrónico Generador rampa Vref. R C AO + VA Comparador AO + Cx CONTADOR Vp Vo Vi S&H RELOJ Y CIRCUITO DE CONTROL BUFFERS DE SALIDA VS Salida digital __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 15
  • 16. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Como muestra el dibujo, tiene un integrador, un comparador, un generador de impulsos y los circuitos de salida. En la puesta en marcha el integrador y el contador son puestos a cero por el circuito de control. A partir de este momento, el integrador genera una rampa con una pendiente determinada por los valores de C y R. Simultáneamente el contador comienza a contar los pulsos provenientes del reloj, que pasan por una puerta Y. Para este caso, la salida del comparador, deberá estar en uno lógico (1), para permitir que los pulsos lleguen al contador. En el comparador se realiza la comparación entre la señal de entrada (señal muestreada) y la rampa generada en el integrador. Cuando el nivel de la rampa supera a la señal de entrada, el comparador bascula colocando un cero lógico en la puerta AND, deteniendo el paso de pulsos hacia el contador. El valor contado hasta este momento, corresponde con la salida digital del valor de la tensión de entrada muestreada. Las formas de ondas de las distintas señales que intervienen, se muestran en el siguiente dibujo: TS Vo VA t VS t Cx t T Ts representa el tiempo entre dos conversiones consecutivas. El tiempo T se obtiene a partir de la condición de que Vo (tensión analógica muestreada es igual a la VA (tensión rampa) o sea t = T. vA(t) =– 1/C.R ∫0T (-Vref.) dt = Vref. T / C.R VA = Vo = Vref.T/CR despejando el tiempo T T =Vo. CR/ Vref El tiempo T lo reemplazamos por la cantidad de pulsos contados x periodo del pulso o sea T = N . Tp = N/ f __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 16
  • 17. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------De allí despejamos el número de pulsos contados y tendremos: N = (C.R. f/ Vref). Vo Como podemos observar, la cantidad de pulsos contados es una medida de la tensión analógica de entrada. Por lo tanto el valor digital lo obtenemos a la salida del contador binario. En la formula anterior vemos que los pulsos contados es función de los valores de C y R, valores que se modifican con la temperatura, con lo que el error puede aumentar. Otro inconveniente es la baja velocidad. Convertidor A/D de doble rampa Conmutador electronico C1 Generador rampa C AO + C2 CIRCUITO DE CONTROL VA AO + CONTADOR Comparador a masa -Vref. Vi Vo BUFFERS DE SALIDA RELOJ S&H Salida digital VA T1 TA1 TA2 t VA1 VA2 __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 17
  • 18. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Este convertidor se ha diseñado para resolver los inconvenientes del de rampa única (variabilidad de C, R y f ). El funcionamiento comienza integrando la señal muestreada de entrada durante un tiempo T1 fijo para cualquier nivel de tensión. Esto da lugar a una rampa negativa hasta alcanzar el nivel de tensión - VA1 (- VA2 corresponde para otro nivel de tensión de entrada). A continuación se cambia de posición el conmutador C2 y se pasa a integrar una tensión negativa de referencia (-Vref.), dando lugar a una rampa positiva, que comienza desde -VA. El tiempo de integración de esta rampa, hasta que la tensión se haga cero, como se observa en el grafico, depende de la tensión alcanzada cuando se genero la rampa negativa. Durante este tiempo, el contador cuenta los pulsos provenientes del reloj. Al pasar la rampa por el nivel cero, detectado por el comparador, termina la cuenta, teniéndose una salida digital, proporcional al nivel de tensión de entrada. Por ejemplo para el nivel de tensión de entrada que proporciona - VA1, el contador cuenta durante el periodo ∆T= TA1-T1 y para -VA2 cuenta durante ∆T= TA2-T1 . Con este método se eliminan las derivas por C, R y frecuencia reloj. En efecto, la tensión alcanzada por la primera rampa para t = T1 vale: VA1= - Vo (muestreada). T1 / C.R Durante este tiempo el reloj habrá oscilado”n1” veces de manera que T1 = n1. T reloj Por otra parte, el tiempo TA1, es el empleado en alcanzar el nivel cero, en el transcurso de la segunda rampa, por lo que VA1 también la podemos expresar como: VA1 = -( TA1 –T1 ) . Vref. / C.R = - Vo (muestreada). T1 / C.R Despejamos ahora el periodo de la rampa positiva tendremos: ( TA1 –T1 ) = Vo(muestreada)/Vref . n1. Treloj Durante este periodo el contador cuenta N pulsos, por lo que podemos reemplazar a este periodo por la cantidad de pulsos contados multiplicado por el periodo del reloj: ( TA1 –T1 ) = N. T reloj finalmente determinamos el número de pulsos contados N, resultando: N = n1. Vo (muestreada) / Vref. Como podemos ver el número de pulsos contados para el nivel de tensión muestreado y convertido, no depende de C, R y la frecuencia. Evidentemente, este convertidor presenta tiempos de conversión largos ( 10 a 100 mseg.), por lo que no se lo emplea para adquisición de datos o señales de audio, pero la conversión lenta no resulta un problema para su aplicación en voltímetros y multímetros. Como ventaja, es su bajo costo y con un grado mayor de complejidad, como dijimos, resuelve los problemas del de rampa única, respecto a la variabilidad de C,R y la frecuencia. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 18
  • 19. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------CONVERTIDOR A / D DE VOLTAJE A FRECUENCIA Este convertidor es más simple que los vistos anteriormente porque no necesita un DAC interno. En lugar de este, utiliza un “oscilador lineal controlado por voltaje”, denominado también VCO, que produce una frecuencia de salida proporcional a su voltaje de entrada. Para el caso del convertidor A / D, el voltaje de entrada del VCO es la señal analógica. Esta última modifica la frecuencia de salida del VCO. Esta frecuencia alimenta a un contador que cuenta durante un intervalo de tiempo fijo. El conteo final resulta proporcional al valor del voltaje analógico. Para tomar como ejemplo e interpretar su funcionamiento, supongamos que el VCO genera una frecuencia de 10 KHZ cuando se le aplica una tensión de 1 volt. Si le aplicamos 1,5 volt, la frecuencia de salida pasa a 15 KHZ, y con 2,73 voltios, la frecuencia es 27,3 KHZ. Como vemos el incremento de frecuencia es proporcional al incremento de la tensión de entrada. Por ejemplo si tenemos ahora una tensión de 4,54 voltios a la salida del VCO tendremos 45,4 KHZ y si esta frecuencia la hacemos pasar por un contador que cuente durante 10 mseg. el contador contara hasta 454. Como vemos, en este caso para una tensión de 4,54 voltios, tenemos a la salida del contador, el valor digital equivalente al decimal 454 representativo de la señal analógica. Si bien este método de conversión es simple, tiene el inconveniente que resulta difícil alcanzar un grado de presición alto, dado que es dificultoso diseñar un VCO con exactitud del 0,1 %. Una de las aplicaciones principales para este tipo de convertidor es en los entornos industriales ruidosos donde se deben transmitir señales analógicas de pequeña magnitud, provenientes de los transductores, hacia las computadoras de control. El ruido eléctrico puede afectar de manera adversa las señales analógicas si se transmiten directamente, a través de conductores, a las computadoras. Una solución, es alimentar un VCO con la señal analógica y transmitir la variación de esta frecuencia que prácticamente no se vera afectada. La computadora, por medio de sus circuitos internos y programa correspondiente, contara los pulsos digitales durante un tiempo fijo y convertirá este conteo en el equivalente valor digital de la señal analógica. DESCRIPCION TECNICA DEL CONVERTIDOR ADC0808 A continuación, daremos una descripción sintética de un convertidor A/D presentado por varios fabricantes; En nuestro caso tomaremos el chip de Nacional Semiconductor, el cual presenta algunas de estas características: 1)- tecnología de fabricación CMOS 2)- Conversor del tipo de aproximaciones sucesivas 3)- Alimentación única normal Vcc = +5 Volt (máx. 6,5 V) 4)- Bajo consumo (15 mW) 5)- Tiempo de conversión típico 100 µs. 6)- Salidas triestado memorizadas, compatible con TTL. 7)- Multiplexor analógico de 8 canales de entrada. 8)- Adaptación a microprocesadores 9)- Resolución 8 bits 10)-Errores de linealidad y desajuste total < ±1/2 LSB (digito menos significativo) Este convertidor A/D, que es presentado en varios encapsulados de CI monolítico, utiliza la técnica de conversión de “aproximaciones sucesivas” produciendo códigos o __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 19
  • 20. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------palabras binarias de 8 bit equivalentes a la magnitud de la entrada analógica. Veamos primero su diagrama en bloques: Líneas de direccionamiento IN0(26) IN1(27) (25)ADD A IN2(28) 8 entradas analogicas IN3(1) IN4(2) Multiplexor de 8 canales analogicos IN5(3) (23)ADD C (22)ALE IN6(4) Activación de la báscula de direcciones IN7(5) Señal analógica seleccionada (24)ADD B Decodificador de direcciones (Básculas) START(6) (inicio) CLOCK(10) (reloj) Conversor A/D (7)EOC (Fin de la conversión) Control y tiempos Registro de aproximaciones sucesivas (SAR) MSB (21)2-1 (20)2-2 Comparador (19)2-3 Báscula / amplificadores de salida (18)2-4 (8)2-5 (15)2-6 (14)2-7 Cadena de conmutadores (17)2-8 LSB 256 resistores Salida de 8 bits compatible TTL Conversor D/A (11)Vcc (13)GND (12)Vref(+) (9)OUPUT ENABLE (Control triestado) (16)Vref(-) __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 20
  • 21. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Los números, en los terminales del diagrama de bloques, corresponden a los pines del circuito integrado. Dispone de 8 entradas analógicas posibles y de una lógica de control compatible con cualquier microprocesador. Un multiplexor analógico de 8 canales puede acceder directamente a cualquiera de los 8 entradas analógicas. El DAC interno consta de 256 resistencias conectadas a una cadena de conmutadores analógicos. Dispone también de un comparador estabilizado (chopper) y un registro de aproximaciones sucesivas. Mediante una combinación de líneas de entradas A, B y C del decodificador de direcciones, se selecciona uno de los 8 canales analógicos. El multiplexor analógico traslada la señal analógica del canal elegido a una de las entradas del comparador. La selección, corresponde a la mostrada en la siguiente tabla: Canal seleccionado Entrada 0 “ 1 “ 2 “ 3 “ 4 “ 5 “ 6 “ 7 C B A 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 Por el terminal (22) ALE, se recibe un flanco ascendente que memoriza la información presente en las líneas A, B y C. Para el funcionamiento y sincronización del convertidor, es necesario aplicarle un reloj externo que puede establecer la frecuencia de trabajo entre 100 KHZ y 1,2 MHZ. Los terminales (12) y (16), Vref (+) y Vref (-). Introducen desde el exterior los voltajes de referencia para el convertidor, los cuales determinan el margen de la tensión analógica de entrada a convertir. Desde el Terminal Vref (-), la tensión de referencia se deriva hasta la Vref (+), pasando por una cadena de 256 resistencias en serie, como indica la figura: Control desde el registro de aprox. sucesivas A la entrada del comparador __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 21
  • 22. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------El registro de aproximaciones sucesivas (SAR) de 8 bits, selecciona secuencialmente a cada uno de los conmutadores analógicos asociados a cada resistencia, produciendo la tensión que conforma una de las entradas del comparador, el cual compara con la tensión analógica a convertir. En caso de no ser iguales dichas tensiones, el SAR cambia de contenido y selecciona un nuevo conmutador. Cuando se consigue que las dos entradas sean iguales, el contenido del SAR es el equivalente, en digital de la tensión analógica a convertir, dando por finalizado el proceso. El SAR es puesto a cero cuando se introduce por el Terminal (6) START, un flanco ascendente, comenzando la conversión al llegar al siguiente flanco descendente. Si durante un proceso de trabajo, se activa este Terminal, se interrumpe la conversión y se inicia una nueva. El Terminal (7) EOC proporciona un nivel alto cuando se ha completado el proceso de conversión. El flanco positivo de este Terminal, indica que la salida digital del convertidor es valida. EOC pasa a nivel bajo dos ciclos de reloj después de que se produzca un flanco ascendente en la señal START. Por los terminales 8, 14, 15, 17, 18, 19, 20 y 21 se obtienen una salida digital binaria equivalente a la tensión analógica seleccionada. Esta salida digital queda almacenada en una bascula (LATH) triestado, compatible con TTL. Mediante el Terminal (9) OUPUT ENABLE (control triestado) en nivel bajo, se puede poner en estado flotante las salidas del conversor. APLICACIONES DE LOS CONVERTIDORES A / D VOLTIMETRO DIGITAL Los voltímetros digitales convierten los voltajes analógicos a su representación en código BCD (decimal codificado en binario), el cual se decodifica y se presenta la información a través de visualizadores, normalmente de 7 segmentos. Analizaremos el principio de funcionamiento de un voltímetro digital que utiliza como conversor una rampa digital. Para ello realizaremos un esquema en bloques de un DVM (medidor de voltaje digital) de tres dígitos. En este caso, el contador esta compuesto por tres contadores de décadas que cuentan hasta 999, para luego pasar a cero y volver a contar. La salida de los contadores es convertida a analógica por el ADC interno, que tiene una resolución de 10 mV. Al final de la cuenta (999), nos va a dar un valor máximo de la tensión de salida Vo, de 9,99 voltios.(valor máximo también de la tensión analógica a medir). La salida de los contadores también alimenta a tres registros del tipo paralelo que guardan transitoriamente la última información obtenida en la conversión, hasta que se complete la nueva conversión. Estos registros son basculas (LATH) del tipo D, donde la información se transfiere a la salida después que se le aplica un pulso reloj. De esta manera mientras los contadores están contando, esta información no se transfiere a los elementos visualizadores, sino que mantienen la cuenta anterior en su salida. De esta manera en los elementos visualizadores, mientras se realiza la nueva lectura, mantienen el valor numérico anterior. Cuando la ultima cuenta finaliza, los registros actualizan su salida, transfiriendo a estas ultimas, la nueva información que tienen en sus entradas. A su vez la salida de los registros actúa como entrada de decodificadores BCD /excitadores de 7 segmentos que excitan finalmente los elementos visualizadores. La siguiente figura, nos muestra el diagrama en bloques de las partes más importantes del voltímetro digital: __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 22
  • 23. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Visualizadores 7 segmentos unidades decenas centenas Modificación del punto decimal Por cambio escala Decodificador BCD/ 7 segmentos Decodificador BCD/ 7 segmentos Decodificador BCD/ 7 segmentos Registro 4 bits (Basculas o lath) Registro 4 bits (Basculas o lath) Registro 4 bits (Basculas o lath) Contador BCD (Centenas) CL Contador BCD (Decenas) CL Contador BCD (Unidades) CL Entrada reloj Convertidor de BCD a analogico F.S=9,99 V Vo Entrada analogica Vi VT=0,1 mV + Q2 A las entradas de borrado de los registros (CLEAR) Comparador Vc Q1 MV1 `Q2 MV1 `Q1 Multivibradores monoestables __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 23
  • 24. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Analizando el diagrama de bloques, cuando Vo < Vi la salida del comparador Vc, permanece en “alto” (1), permitiendo que pasen por la compuerta AND los pulsos reloj hacia el contador. A medida que este se incrementa, la señal a la salida del DAC interno “Vo” se incrementa, también a razón de 10 mV por pulso contado. A partir de un numero de cuenta, resulta Vo > Vi; para esta situación, la salida del comparador pasa a “bajo” (0), inhabilitando la compuerta AND, y con esto, deteniendo la cuenta, con lo que se termina la conversión. Por otra parte, el flanco de bajada de la tensión Vc, provoca el disparo del multivibrador monoestable nº1 que genera en su salida, un pulso temporizado de 1 µseg. . Este pulso activa los registros (basculas) que transfieren el ultimo valor de la cuenta del contador, a su salida, haciendo cambiar o no, la presentación decimal en los visualizadores. Cuando cae el pulso del MV1, la información queda retenida en los registros, y por otro lado activa al MV2, que genera otro pulso que restablece los contadores a cero. Para esta ultima situación “Vo” cae a cero haciendo que Vo < Vi, el comparador entonces pasa a alto, habilitando nuevamente la compuerta AND y permitiendo que los pulsos reloj sean contados nuevamente, iniciando un nuevo ciclo de conversión. La siguiente figura muestra las formas de ondas de las diferentes señales que intervienen en la conversión del DVM. Vi1 Vi2 Vo Vo t Vc Fin de la conversión VQ1 VQ2 t Conteo final transferido a salida registros t Puesta a cero contadores para inicio nueva conversión t Por ejemplo si la tensión analógica vale Vi = 6,3721 V, la tensión de salida del DAC interno o sea Vo, deberá superar a 6,372 + VT = 6,3721 V para que la salida del comparador (Vc) pase al valor bajo. Como el DAC varia su tensión cada 10 mV, __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 24
  • 25. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------entonces se tendrán que generar 6,3721/10 mV = 637,21 escalones que en la practica corresponden a 638 escalones. El contador (formado por tres décadas contadoras parara su cuenta en el numero 638, valor correspondiente en BCD al 0110 0011 1000, valor binario que se guardara en los registros de cuatro bits que a su vez, se decodificara a 7 segmentos, para su visualización. Para nuestro caso, donde estamos midiendo hasta el valor 9,99 voltios, se deberá indicar con un indicador luminoso, el punto decimal, a la derecha del visualizador que corresponde a las centenas (contador) Cambio de escala del DVM Para poder utilizar el voltímetro digital sobre varios intervalos de tensiones de entrada, se usa un amplificador o atenuador adecuado colocado entre la entrada Vi y el comparador. Por ejemplo si tenemos que medir con el voltímetro analizado una tensión analógica de 63,72 V, lo podemos realizar colocando un atenuador por un factor de 10 de manera tal que el comparador reciba una tensión de 6,372 V en su entrada positiva (+) con lo cual el contador llegaría a una cuenta de 638 al final de la conversión. En este caso, para tener una lectura correcta en los visualizadores, es necesario correr el punto decimal a la derecha del que corresponde a las decenas. Mediciones de tensión, resistencia y corriente El DVM se puede convertir en un multímetro (DMM). Por ejemplo para medir corrientes, se hace pasar la corriente desconocida a través de una resistencia fija de referencia para producir un voltaje. A los efectos de que esta resistencia no modifique prácticamente el circuito de medición, se la hace pasar por un amplificador operacional realimentado de tal forma que la fuente de corriente desconocida vea en la entrada del multímetro un cortocircuito virtual. Veamos el circuito básico para convertir corriente en tensión: Rr + Ii Vi Vio = -Rr. Ii v≈0 V Para medir resistencia, se hace pasar por la resistencia a medir, una corriente fija de referencia (fuente de corriente constante). Esta corriente conocida, convierte la resistencia desconocida en una tensión eléctrica que luego es convertida a digital. Rx I=cte Vo=-.Rx.I __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 25
  • 26. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------En ambos casos, medición de corrientes y resistencias, previo a la conversión A/D, se puede colocar un amplificador o atenuador para obtener distintas escalas de medición. Mediciones de voltajes de CA Los voltajes de CA se pueden medir en este DVM, previa su conversión a un voltaje de CC. En estos casos la conversión de CA a CC no resulta conveniente realizarla con rectificadores no controlados, debido a los errores provocados por las caídas de tensión en los diodos (especialmente para mediciones de pequeñas señales). Una solución, es recurrir a los denominados “rectificadores de presición de onda completa”. Tenemos varios circuitos denominados “convertidores de ca a cc” o también llamados “circuito de valor medio absoluto” (MAV). El MAV de una onda de voltaje, sea senoidal, triangular o cuadrada, es aproximadamente igual a su valor medio cuadrática (MAV) o valor eficaz. Por lo tanto, un circuito de bajo costo MAV puede utilizarse como sustituto de un circuito de calculo de verdadero valor eficaz que es mucho mas caro. Veamos a continuación un circuito MAV realizado con amplificadores operacionales: A En este circuito, cuando la tensión de entrada “Vi” es positiva, el diodo D2 esta polarizado en directo y D1 en inverso. Por R1 circula una corriente I1=Vi/R1 y lo hace también por R2. Como R1=R2=R (AO1 actúa como inversor realimentado), entonces en el punto”A”, la tensión vale “-Vi”. Por otra parte, el AO2 actúa como “sumador inversor” con señales que ingresan por R3 y R4 que valen “+Vi” y “-Vi” respectivamente. La tensión a la salida de AO2 vale: Vo= - ( (R5/R4).Vi + (R5/R3).(-Vi)) = - (Vi + 2.(-Vi)) = +Vi (salida para Vi positivo) Cuando Vi es negativa, el diodo D2 no conduce y el diodo D1 conduce, dado que aparece una tensión positiva a la salida de AO1 (+0,7 volt). Esto sucede así aun con una muy pequeña amplitud de la tensión de entrada, dada la gran ganancia de amplificador operacional. En este caso, la tensión del punto “A” vale cero volt por estar D2 bloqueado. La tensión de salida de AO2 vale: Vo= - ( (R5/R4).(-Vi) + (R5/R3).(0)) = - R5/R4.(-Vi) = +Vi (salida para Vi negativo) __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 26
  • 27. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Como vemos, en ambos casos, Vi positiva o negativa, la tensión de salida resulta siempre positiva. Sin el capacitor “C”, tenemos a la salida de AO2 la señal de entrada rectificada en onda completa, con exactitud y sin perdida de señal, como seria el caso de utilizar los rectificadores con diodos. Vi t Vo Vo sin capacitor Vo con capacitor t Si le añadimos un capacitor de alto valor y de bajas fugas (10 µF de tantalio), el circuito actúa como integrador, haciendo que Vo sea el valor promedio rectificado de Vi. Para DVM de presición, se utilizan circuitos que convierten el valor rms de una señal alterna pura u otra, a un valor de CC (el circuito es mas complejo). Por ejemplo el circuito integrado AD536A de Analog Devices, realiza la conversión de rms a corriente directa verdadera. Nota sobre la excitación de los indicadores numéricos de 7 segmentos En el diagrama en bloques presentado para el voltímetro digital, donde se observa un decodificador BCD/7 segmentos para cada indicador numérico, la cantidad de hilos de conexión, hace costoso y compleja, cuando debe realizarse. Una forma de reducir el número de hilos de conexión tanto en las técnicas de sistemas realizados con circuitos de mediana integración como en los de alta integración, consiste en utilizar la múltiplex acción para la alimentación de los indicadores numéricos. El multiplexado para el encendido de los paneles numéricos, se basa en utilizar un único convertidor BCD/7 segmentos para el mando simultaneo de todos los segmentos de las distintas décadas del contador, pero excitando únicamente un solo digito durante un corto intervalo de tiempo, y produciendo una rotación en el encendido de las sucesivas décadas, con suficiente velocidad para que no sea perceptible el parpadeo. En este caso la entrada de información del convertidor BCD/7 segmentos debe irse conmutando sincrónicamente con la excitación de cada digito, para obtener la indicación numérica deseada. Con una frecuencia de encendido de 1 KHZ, es suficiente para eliminar el parpadeo. El siguiente esquema, muestra el diagrama en bloques de un sistema de excitación de indicadores numéricos de cátodo común, con un solo decodificador BCD/7 segmentos. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 27
  • 28. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Entrada de datos Oscilador D1 Contador 4 bits D2 DN Multiplexor de datos Decodificador BCD/7Seg. Decodificador de 1 a N líneas (Selec. display) N 2 1 1 2 N El esquema anterior muestra el esquema en bloques de un sistema de multiplexado para indicadores numéricos Con LED de cátodo común. Consta de un oscilador que fija la frecuencia del encendido secuencial de los indicadores. Un contador cargado por dicho oscilador permite el direccionamiento de la década iluminada en cada instante, por medio del decodificador de 1 a N líneas, y al mismo tiempo constituye la dirección de la selección de los datos correspondiente al digito iluminado, utilizando para esto ultimo un multiplexor paralelo de cuatro bits. Para el caso de nuestro voltímetro digital de tres dígitos, solo necesitaríamos un contador de tres bits, un decodificador de tres líneas y un multiplexor paralelo de cuatro bits de entrada, con tres salidas. Como ejemplo de una aplicación de excitación con indicadores numéricos a 7 segmentos con multiplexado, __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 28
  • 29. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------vamos a mostrar el diagrama lógico y de bloques simplificado de un CI de alta densidad de integración como el MM74C923 de la firma Nacional. Inicio Vcc (18) R(13) Arrastre 74C927 Reloj (12) ÷ 10 ÷6 ÷ 10 ÷ 10 CO(14) 4 Selección `DS(6) LATCH 4 BIT 4 4 (15) a 4 (16) b LATCH 4 BIT LATCH 4 BIT LATCH 4 BIT Latch (5) Decodificador BCD / 7 Seg. y exitador (17) c (1) d (2) e (3) f A A (7) B (8) C (10) D (11) B C MULTIPLEXOR (4) g D Oscilador interno Masa(9) Este integrado, consta de un contador de cuatro dígitos, un registro de almacenamiento interno, siete salidas por transistores NPN que proporcionan hasta 80 mA para cada segmento de los visualizadores, y un circuito interno para multiplexar los cuatro visualizadores. La multiplexación se hace por medio de un oscilador interno. El circuito dispone de una entrada reloj (12) para el contador, de tal forma que este avanza con cada flanco negativo en dicha entrada. Asimismo, consta de una entrada de iniciación (13) que cuando se pone a nivel alto, el contador pasa a cero y la salida de acarreo (14) pasa a nivel bajo. Para el control del registro de almacenamiento interno, formado por cuatro basculas por década del contador, dispone de una entrada de validación (5) que cuando se produce una transición de nivel bajo a alto, el numero almacenado en el contador pasa al registro. La entrada de selección de digito (6), cuando esté a nivel alto, se mostrará el número en el contador; cuando este a nivel bajo, se seleccionará el número que se halla en el registro de almacenamiento. El multiplexor, genera cuatro salidas internas y cuatro externas A, B, C, y D. Las internas se utilizan para realizar el multiplexado sobre las salidas de las básculas hacia el decodificador BCB/7 seg. El grupo de cuatro básculas seleccionadas se conecta a las cuatro salidas comunes, mientras el resto de las básculas permanece con alta impedancia de salida (control triestado). Las salidas externas A(7), B(8), C(10) y D(11), son las encargadas de seleccionar a cada visualizadores, en sincronismo con el correspondiente dato BCD convertido a 7 seg. La excitación de los segmentos (LED o LCD) se realiza a través de las salidas externas a(15), b(16), c(17), d(1), e(2), f(3) y g(4).La próxima figura, muestra el conexionado básico de los terminales de excitación de los visualizadores: __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 29
  • 30. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------a R b c 74C927 d e f g A B C D Como característica notable de este circuito podemos decir que la tensión de alimentación puede ser de 3 a 6 volt (TTL) y el margen de ruido es de 1 volt. Respecto al contador, esta formado por tres décadas y una éxada, de forma tal que el segundo digito más significativo divide por seis. Una aplicación de este integrado, tomado como ejemplo de la multiplexación de las salidas, es en los temporizadores para visualizar los tiempos transcurridos, permitiéndonos contar décimas de segundo, segundos y minutos, si utilizamos un reloj externo de 10 HZ. Para finalizar el tema respecto al voltímetro digital, podemos decir que el avance que ha tenido la microelectrónica, en estos últimos años, ha permitido disponer prácticamente en un solo chips, todos los bloques funcionales de un voltímetro digital (convertidor A/D mas excitador display) a precios muy accesibles. ADQUISICION DE DATOS CON LOS CONVERTIDORES A / D Como lo hemos dicho al principio del tema de los convertidores D/A y ADC, existen muchas aplicaciones donde los datos analógicos se deben “digitalizar” para transferirlos a la memoria de una computadora. Este proceso, mediante el cual la computadora adquiere estos datos analógicos digitalizados, se denomina “adquisición de datos” y la electrónica que lo realiza, se denomina en la jerga de la computación, “placa de adquisición de datos”. Esta placa, tiene todos los componentes necesarios para realizar la conversión A/D, como así también de los amplificadores o atenuadores para adaptación de la señal de entrada a las distintas resoluciones. El control de esta placa se realiza a través de la computadora mediante un programa específico. La adquisición de datos se realiza como ya lo hemos mencionado, tomando muestras de la señal analógica (muestreo) a intervalos de tiempo menores a la máxima frecuencia contenida en esta señal (teorema del muestreo de Nyquist). La computadora puede hacer varios procesos con los datos adquiridos, dependiendo de la aplicación. Por ejemplo en una aplicación de almacenamiento de una grabación digital de audio, video o un osciloscopio digital, la microcomputadora interna guarda __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 30
  • 31. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------los datos en una memoria para luego, tiempo después transferirlos a un DAC y reproducirlo nuevamente como señal analógica. En una aplicación de control de procesos, la computadora examina los datos o realiza un determinado algoritmo de control, para posteriormente determinar que salidas de control debe generar. Veamos el diagrama simplificado de este procedimiento: Vi(analogica) Reloj Inicio MICROCOMPUTADORA ____ FDC ADC de rampa digital 8 bits Datos 8 bits Vo (tensión a la salida del DAC interno del ADC Vi (señal analógica) Vi Vo Inicio ____ FDC t to t1 t2 00001000 00000101 dato1 dato2 t3 00000011 dato3 t4 00000011 dato4 Datos que se van cargando en la memoria de la computadora La figura anterior muestra como la microcomputadora, mediante un programa especial para ese fin, se conecta con el ADC tipo rampa digital, para adquirir los datos digitales de la representación de la tensión analógica Vi. La computadora genera los pulsos de __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 31
  • 32. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------“inicio” para iniciar una nueva conversión A/D. La señal negada FDC ( fin de conversión), generada por el convertidor, es monitoreada por la computadora, para determinar el momento que finaliza la conversión, para posteriormente transferir el resultado digital, a la memoria de la computadora. En el dibujo se observa la señal Vi (línea continua), la tensión en escalera Vo del DAC interno, que comienza a incrementarse cuando se da la orden de inicio. Cuando Vo iguala y supera a Vi, se completa la conversión pasando la señal ‘FDC a un valor bajo. El valor del contador interno del ADC se transfiere a la salida (bus de datos) y la computadora mediante una instrucción del programa, lo guarda en su memoria. Nuevamente genera otra señal de “inicio”, para repetir el procedimiento comentado. Si posteriormente quisiéramos reconstruir la señal digitalizada, la computadora, mediante otro “programa especial” transfiere los datos guardados a un DAC en el mismo orden con que fueron tomados y con el mismo intervalo de tiempo. La salida del DAC presentara una señal escalonada, haciéndola pasar por un filtro RC pasabajo se puede obtener la forma de onda original. Vi Señal analógica a digitalizar y guardar en memoria de la computadora t V Reproducción señal digitalizada Reproducción señal digitalizada y filtrada t Como ejemplo practico y real de la conexión entre un convertidor A/D y el microprocesador de una computadora, presentaremos al CI ADC084. Este circuito integrado, comercializado por varios fabricantes, es un CI CMOS de 20 terminales y realiza la conversión analógica a digital usando el método de aproximaciones sucesivas. Este CI ha sido diseñado para que pueda ser interconectado fácilmente a un bus de datos de un microprocesador, por lo que la salida de datos digitales es triestado de 8 bits. Por __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 32
  • 33. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------esta razón, los nombres de algunas de las entradas y salidas, se basan en funciones que son comunes a sistemas soportados con microprocesadores. Veamos primero el CI con los nombres y ubicación de los terminales: Vcc(+5V) (20) VENT(+) (6) (11) D7 VENT(-) (7) (12) D6 GND(analogica) (8) (13) D5 Vref/2 (9) (14) D4 CLK(out) (19) CLK(int) (4) ___ CS __ RD __ WR CAS ADC0804 8-bits (15) D3 Salidas digitales (16) D2 (17) D1 (1) (18) D0 (2) ____ (5)INTR (3) (10) GND(digital) Similar al ADC 0808 ya estudiado, tiene dos entradas analógicas, VENT(+)(6) y VENT(-)(7), que permiten tener “entradas diferenciales”. De esta forma, la entrada analógica real, resulta de la diferencia en los voltajes aplicados a estos terminales: VENT = VENT(+) - VENT(-) Cuando realizamos conversiones con una sola tensión, esta se aplica a VENT(+) y VENT(-) se conecta a la “tierra analógica” GNDanalogica(8). Durante la operación normal, el convertidor utiliza Vcc= +5 V como voltaje de referencia y la entrada analógica puede variar de 0 a 5 V a limite de escala. El voltaje analógico de entrada es convertido a una salida digital de 8 bits de tipo triestado. Con 8 bits, la resolución resulta: Resolución absoluta = 5 V / 255 = 19,6 mV. Para el funcionamiento del registro de aproximaciones sucesivas, el CI tiene un oscilador interno que produce una frecuencia dada por la expresión: f = 1 / (1.1.R.C) Siendo R y C componentes conectados en serie, a los terminales externos CLKout(19), CLKIN(4) y GNDdigital(10). También es posible usar un reloj externo, conectado al Terminal CLKIN(10). __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 33
  • 34. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Para R = 10 K y C = 150 pF resulta f = 606 KHZ: con este valor de frecuencia, el tiempo de conversión es de 100µseg. aprox. Presenta además conexiones a tierra separadas para los voltajes digitales y analógicos. El Terminal (8) es la tierra analógica que esta conectado al punto de referencia común del circuito analógico el cual se esta generando el voltaje analógico. El Terminal (10) es la tierra digital que usan todos los dispositivos digitales del sistema (tienen diferentes símbolos). La tierra digital es inherentemente “ruidosa” debido a los cambios rápidos de corriente que ocurren cuando los dispositivos digitales cambian de estado. Aunque no es necesario usar una tierra analógica separada, hacerlo asegura que el ruido de la tierra digital no cause conmutación prematura del comparador analógico interno del ADC. El Terminal ‘CS (selección del chips) debe estar en su estado activo BAJO (0) para que las entradas ‘RD y ‘WR tengan efecto. Con ‘CS en ALTO (1), las salidas digitales están en el estado de alta impedancia (Hi-Z) y no se puede llevar a cabo ninguna conversión. El Terminal ‘RD (leer) se utiliza para habilitar los búferes de salida digitales. Con ‘CS=’RD= 0, los terminales de salida digital (D7….D0) presentaran los niveles lógicos resultado de la ultima conversión A/D. Luego el microcomputador puede “leer”(buscar) este valor de dato digital convertido en el bus de datos del sistema. El Terminal ‘WR (escribir), en BAJO (0) se utiliza para dar inicio a una nueva conversión. Tiene esta denominación, (WRITE) dado que en una aplicación común del microcomputador, utiliza un pulso de WRITE (similar a escribir en una memoria) para iniciar la conversión. El Terminal ‘INTR pasa a ALTO (1) cuando se inicia la conversión y retornara a BAJO (0) para señalar el fin de la conversión. Tiene esta denominación, porque normalmente se conecta a la entrada de INTERRUPCION del microprocesador para llamar la atención de este último e indicarle que los datos convertidos están listos para su lectura. El Terminal Vref/2 es una entrada opcional que puede utilizarse para reducir el voltaje interno de referencia y por lo tanto cambiar el intervalo analógico de entrada que el convertidor puede manejar. Cuando esta entrada no esta conectada permanece en Vcc/2 ya que Vcc se esta usando como voltaje de referencia. Conectando un voltaje externo, la referencia interna cambia al doble de este valor y entonces el intervalo analógico de entrada cambia de igual forma. Vref/2 Intervalo analógico de voltaje (V) Resolución (mV) abierto 0—5 19,6 2,25 0—4,5 17,6 2,0 0—4 15,7 1,5 0—3 11,8 Veamos a continuación el conexionado principal de una “placa de adquisición de datos” respecto a las conexiones del conversor ADC y el microprocesador: __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 34
  • 35. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------+Vref Fuente de alimentación +Vcc(5 V) + 10 K 1,5 V - Entrada analogica Bus de datos 0,5 – 3,5 V 10 K VENT(+) Vcc 0,5 V VENT(-) GND(analog.) Vi Vref/2 R 10 K CLK(out) CLK(int) ___ CS __ RD __ WR C 150 pF D7 D6 D5 D4 D3 D2 D1 D0 ____ INTR GND(digit.) Microprocesador D7 Vcc D6 D5 D4 D3 D2 D1 D0 ____ INTR ___ RD ___ WR GND Bus direcc . Logica de decodificacion de direcc. __ CS __ WR __ RD ____ INTR Línea de datos Hi-Z Inicio conversión 100 µseg. Fin Conversión Datos validos __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 35
  • 36. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------La microcomputadora, mediante un programa dedicado, controla cuando debe tener lugar una conversión, generando las señales ‘CS y ‘WR. Luego adquiere los datos de salida del ADC generando las señales ‘CS y ‘RD, después de detectar una salida baja en ‘INTR, generada por el ADC, indicando fin de conversión. Esta señal baja provoca una interrupción al programa principal, pasando a ejecutar un subprograma que genera las señales indicadas ‘CS y ‘RD. Las formas de ondas de las señales que intervienen, muestran su actividad durante el proceso de adquisición de datos. En este caso vemos que ‘INTR pasa a alto cuando ‘CS y ‘WR son bajos, pero el proceso de conversión no se inicia hasta que ‘RW pase a alto. Asimismo, las líneas de datos de salida del ADC están en estado de alta impedancia hasta que la computadora activa ‘CS y ‘RD. En ese punto se habilitan los búferes de salida de datos conectándose eléctricamente al bus de datos del microprocesador. Las líneas de datos retornan al estado de alta impedancia cuando ‘CS o bien ‘RD retornan al estado alto. Los datos recibidos en el bus de datos, mediante una instrucción al efecto es guardado en la memoria del sistema, para luego ser procesado. En la aplicación presentada para este convertidor, la señal de entrada varía en un intervalo de 0,5 a 3,5 volt. Con el propósito de usar por completo la resolución de 8 bits, el A/D se debe acoplar a las especificaciones de las señales analógicas de entrada. En este caso, el rango límite de escala es de 3,0 V. Como la señal se desplaza en 0,5 volt de tierra, entonces para obtener un desplazamiento de este valor, se le aplica un voltaje similar en la entrada VENT(-) , estableciendo a 0,5 como valor de referencia de 0. El intervalo de 3,0 V se establece colocando una tensión de 1,5 V en Vref/2 que establece en 3 volt el intervalo analógico a convertir. De esta manera al valor real de la tensión analógica de 0,5 volt le corresponderá el valor binario 00000000 (00Hex) y al valor 3,5 volt el 11111111(FF Hex). La resolución será en este caso de 11,8 mV. Un aspecto importante para destacar, esta relacionado al “ruido” generado por el sistema digital. De allí la importancia de separar los caminos de las tierras analógicas de las digitales en la placa soporte, como así también establecer trayectorias de baja resistencia; de la misma manera, respecto a las trayectorias de las fuentes de alimentación que deben separarse y emplear muchos capacitores de desacoplamiento (típico 0,01 µF) muy cerca de cada conexión de la fuente y tierra. Microcontroladores con conversores A/D Algunos de dispositivos electrónicos de alta densidad de integración, denominados microcontroladores (microcomputadora en un solo chips prácticamente), que se utilizan para realizar controles incorporados al propio sistema, (hornos microondas, aires acondicionados etc.), disponen de módulos convertidores A/D. Por ejemplo el microcontrolador PIC 16F87X poseen un conversor A/D de 10 bits de salida (resolución) con 5 canales de entrada analógica. La resolución de cada bit es función de la tensión de referencia que se utilice. Resolución = [Vref(+) – Vref (-) ] /1024. La técnica usada para la conversión en estos microcontroladores es la de aproximaciones sucesivas. Para confeccionar el programa de aplicación del conversor A/D, solamente requiere la manipulación de cuatro registros (mediante instrucciones del programa afín); en dos de ellos se obtiene el valor convertido y los otros dos se utilizan para programar las entradas, y control del A/D. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 36
  • 37. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------OSCILOSCOPIO DE ALMACENAMIENTO DIGITAL A continuación, como ejemplo final de las aplicaciones de los convertidores A/D y D/A, desarrollaremos los conceptos fundamentales en que están basados los osciloscopios de almacenamiento digital, abreviadamente denominados DSO. Adquisición de datos Vext . Amplificador vertical Vi Amplif. bufer Disparo interno Señal externa de disparo Control base de tiempo S&H ADC Circuitos de control con microprocesadores Reloj de muestreo Amplificador horizontal DAC CRT Contador base de tiempo Contador de direcciones DAC Memoria Amplificador vertical Bloque para visualización de datos Almacenamiento datos digitalizados Los osciloscopios digitales presentan muchas ventajas, respecto a los convencionales que miden en tiempo real y respecto a aquellos que memorizan la imagen de la forma de onda como cargas electrizas en pantallas recubiertas de fósforo (CSO) __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 37
  • 38. UTN REG. SANTA FE- ELECTRONICA II- ING. ELECTRICA 8-2 Convertidores de señales analógicas a digital. ---------------------------------------------------------------------------------------------------Los DSO pueden almacenar las formas de ondas durante un tiempo indefinido, dado que los datos digitalizados de las señales eléctricas que se quieren observar y medir, se almacenan en una memoria semiconductora (con Flip Flop); en un CSO, la imagen se degrada paulatinamente con el tiempo. Los DSO pueden, en algunos modelos colocar en cualquier parte de la pantalla CRT, la forma de onda y pueden cambiar sus escalas verticales y horizontales, para adaptarse a la medición. También es posible almacenar varias formas de ondas para luego imprimirlas en una impresora estándar. La figura anterior, nos muestra el diagrama en bloques simplificado de un DSO. La operación de control y sincronización, la realiza el bloque identificado como “circuitos de control, que dispone de un microprocesador que ejecuta un programa de control almacenado en una memoria ROM (de solo lectura). El subsistema que corresponde a la adquisición de datos, dispone de los circuitos de “muestreo, retención y ADC”, cuya misión es la de muestrear y digitalizar la señal de entrada. La frecuencia de muestreo se determina mediante “el reloj de muestreo” proveniente de los controles de base de tiempo hacia los circuitos de control. Los datos digitalizados se almacenan en la memoria. El bloque de control, se encarga de las “direcciones de almacenamiento” de tal forma que los datos sucesivos convertidos a digital de la señal de entrada, sean guardados en direcciones en direcciones de memoria sucesivas. Esto se realiza, mediante la actualización continua del “contador de direcciones” de la memoria. Cuando la memoria esta llena, el siguiente punto de datos del ADC se almacena en la primera localización de la memoria, escribiendo sobre el dato anterior y así sucesivamente. Este almacenamiento continua hasta que el bloque de “control recibe una señal de “disparo externo o interno“de la forma de onda de entrada. Cuando este se produce, el sistema detiene la adquisición de nuevos datos y cambia al modo de operación de visualización, en donde todos o partes de los datos de la memoria se visualizan de manera repetitiva en el tubo de rayos catódicos. Para la visualización, se usan dos DACs para proporcionar los voltajes de deflexión horizontal y vertical para el CRT. Los datos de la memoria producen la deflexión vertical del cañón de electrones, en tanto que el “contador de base de tiempo” (que cuenta la cantidad de muestras tomadas durante el tiempo de adquisición) proporciona la deflexión horizontal en forma de una señal de barrido escalonada (diente de sierra escalonado). El bloque de control sincroniza la operación de visualización incrementando el “contador de direcciones” de la memoria y el “contador de base de tiempo” simultáneamente, de modo que a cada escalón horizontal del cañón de electrones se acompaña un nuevo valor de datos de la memoria al DAC vertical Los contadores se reciclan de manera continua, de manera que los puntos de datos almacenados se vuelven a graficar en forma repetitiva en la pantalla. La visualización de la pantalla consta de puntos discretos que representan los diversos puntos de datos, pero el numero de datos por lo general es tan grande (1000 o mayor) que da la sensación visual de una forma de onda uniforme y continua. La operación de visualización de una señal memorizada termina cuando el operador oprime un botón del panel frontal del equipo que da la orden para iniciar un nuevo ciclo de adquisición de datos. Esta secuencia de operaciones del DSO se aplica por ejemplo en el audio digital. La señal captada por un micrófono (señal analógica) se digitaliza en un DAC, se almacena en cinta o disco magnético, disco óptico o memoria semiconductora, para luego reproducirlo en un parlante (señal analógica), previo paso por un DAC. __________________________________________________________________ Apunte de cátedra Autor: Ing. Domingo C. Guarnaschelli 38