SlideShare una empresa de Scribd logo
1 de 15
Descargar para leer sin conexión
UNIVERSIDAD DE LOS LLANOS
FACULTAD DE CIENCIAS BASICAS E INGENIERIA
PROGRAMA DE INGENIERIA ELECTRONICA
FRANKLIN JANCOVICK VARON HUERTAS-161003027
DANIEL ORLANDO PINZON CONDE-161003017
MIGUEL ANGEL ROJAS POBEDA-161003021
VILLAVICENCIO-META
MARZO DE 2013
PASO A NIVEL DE FERROCARRIL
BASYS 2 - SPARTAM3E100CP132
FPGA
CIRCUITOS DIGITALES I
ING.CESAR ROMERO
UNILLANOS
VILLAVICENCIO-META
2013
OBJETIVOS:
 Diseñar el paso a nivel de un ferrocarril con uso de la metodología
combinacional de xilinx.
 Se pretende relacionar un tipo se sensor ( una fotocelda en este caso)
Que reaccione con el paso del tren.
 Conocer de manera practica la estructura del integrado l293b.
 Encontrar una manera de relacionar los conceptos proporcionados por
el curso para esta implementación.
 Definir una metodología especial que nos relacionara nuestras
necesidades con nuestros conceptos.
Se pretende de manera programable controlar el paso a nivel de un tren ,
con uso del lenguaje de programación IEEE, en código VHDL, . como
controlador utilizaremos el controlador FPGA de una tarjeta BASYS 2.
Se pretende incorporar a la parcita de laboratorio alguna expresión de sensor
con el cual al paso del tren sobre su pista , que se cruza perpendicularmente
con la via de automóviles en dos ocasiones , se activen y generen un pulso
de entrada definido y orientado a la BASYS , el cual como orden
programada genere otro pulso de salida que se transmita desde la FPGA al
montaje y este se distribuya de manera enfática en dos motores , que están
ubicados en la margen de la vía de automóviles de manera paralela; para que
realicen una rotación de - 90º con respecto al eje positivo de las abscisas ,
sobre la vía de los automóviles con una barra en su extremo útil, y simbolicen
la prohibición del paso a los mismos por presencia del tren. Y que luego de el
tren alcanzar una cierta de distancia fuera de esta faceta tratada, y al
generar un nuevo impulso en otro sensor , este sea una carga de entrada a la
tarjeta y esta reacciones generando otro impulso dirigido a los motores para
que las barras nuevamente realicen una rotación de 90º , con respecto al eje
de las abscisas , es decir volver a su estado inicial para que las vías queden
simbólicamente libres y la movilidad a través de la misma puede ser posible.
A continuación realizamos la estructura de nuestro proyecto:
PROCEDIMIENTO:
DISEÑO DE LA MAQUETA:
Inicialmente pretendimos diseñar la simulación a escala de la via de un tren.
Debemos tener en cuenta tanto como las medidas del tren como los
elementos que pretendemos incorporar a la construcción a escala como el
motor , barras y algunas partes que añadiremos a la maqueta para
redondear más su diseño.
Para el diseño de nuestra maqueta son materiales determinantes los
siguientes materiales:
-cartón paja
-tijeras
-silicona
-pistola de silicona
-temperas
-pincel
-regla
 De manera inicial procedimos a definir las medidas de la base, con
referencia en el tamaño de la pista, sobre la cual se desplazara el tren.
Esta pista presentaba las siguientes medidas: 100 cm, de largo y 30
cm de ancho. Para realizar la base usábamos como principio un pliego
de cartón paja; deducimos que era posible el corte del pliego a la mitad;
Dejando también un pequeño cuadro sobrante para la designación
de la vía automovilística, con el fin de que esta tenga mayor tamaño.
Luego de tener la base con sus medidas correctas procedimos a
adicionarle color . a la vía de transitaciòn automovilística le dimos color
negro de manera común . y el espacio restante a las orillas le
implementamos un color natural; color de vegetación.
Luego de terminar el pintado tendremos una estructura de la maqueta
como la siguiente:
DISEÑO DEL CIRCUITO –SENSOR DETECTOR
DISEÑO DEL CIRCUITO:INTEGRADO 555
Para este circuito preseidos de los siguientes elementos:
-protoboard
-cable UTP
- integrado 555
-integrado L293L
-condensador electrolítico de 10 uf
-condensador cerámico de 100 nf
-fotocelda de 5v
-motor reductor
-resistencias: 220 ohm, 4.7 k,470 ohm.
-bombillo led
 Procedimos a incorporar inicialmente a la tabla ahuecada dispuesta en
hileras (protoboard) el integrado 555.
 A continuación describimos su estructura para realizar las conexiones
del circuito:
 Ahora podemos realizar el cableado de los pines según su
funcionamiento:
 Las conexiones se realizaron de la siguiente manera:
 PIN 1: conexión GND del montaje.
 PIN2:conexión con un extremo de la fotocelda, El otro extremo a la
conexión VCC del montaje. E igualmente una resistencia de 4.7k que
tiene su otro extremo a GND , del circuito.
 PIN3: esta es el resultado de la información proporcionada por la
fotocelda; presenta la conexión de una resistencia de 470 ohmios , y el
otro extremo a la polaridad positiva de un bombillo led como prueba; la
polaridad negativa del led se orienta a el GND común.
 PIN 4: conexión VCC del circuito.
 PIN 5: conexión con un condensador cerámico de 100 nf, el otro extremo
del condensador a la polaridad GND del circuito.
 PIN 6: conexión de condensador electrolítico de 100uf con u polaridad
positiva y la negativa a GND.; de este pin también sale una conexión al
pin 7.
 PIN 7: conexión con uso de un resistencia al pin 8.
 PIN 8:conexión a VCC.
De manera gráfica el circuito combinacional es de la siguiente manera:
Luego de realizar las conexiones sobre la protoboard tendremos un circuito
como el siguiente:
CIRCUITO: INTEGRADO L293B
A continuación realizaremos la implementación del circuito para el integrado
L293B ; en este era indispensable presidir de los siguientes materiales:
-diodos (8)
- cable
- integrado l2293b.
Para proceder a realizar sus conexiones correspondientes que nos arrojaran
los resultados propuestos , que es su correcto funcionamiento definimos
inicialmente su estructura:
FIGURA:7
Las conexiones se realizan de la siguiente manera:
 PIN 1: conexión entrada de voltaje de canal 1.
 PIN 2: conexión a BASYS , según su asignación de pines.
 PIN 3:conexión al motor A
 PIN 4: este pin presenta conexión a GND del circuito.
 PIN 5: este pin presenta conexión a GND del circuito.
 PIN 6: conexión a motor B. la
 PIN7: conexión a la tarjeta BASYS .
 PIN 8: conexión a VCC del circuito
 PIN 9:conexión a VCC , del circuito.
 PIN 10: conexión a pin asignado de la BASYS
 PIN 11: conexión a motor C
 PIN 12: este pin presenta conexión a GND del circuito.
 PIN 13: este pin presenta conexión a GND del circuito.
 PIN 14: conexión a motor D
 PIN 15: conexión a la tarjeta BASYS.
 PIN 16: este pin presenta conexión a VCC del circuito.
Luego de realizar todas las conexión de manera corroborada a los parámetros
estipulados por el modelo anterior de la figura 7 , tendremos un circuito similar
al siguiente :
FIGURA :8
Ahora observaremos el montaje completo que se realizo en la protoboard,
será entonces la figura 7 junto la figura 8:
FIGURA:9
Ahora obsevaremos las conexiones que se realizaron con la BASYS 2:
FIGURA:10
Con esto hemos dado por terminado la parte de implementación de hardware
del proyecto , y seguidamente pasamos a realizar la programación a corde
con la necesidad.
IMPLEMENTACION DEL CODIGO DE PROGRAMACION-VHDL
Esta programación en lenguaje IEEE, código VHDL se realiza del software
XILINX 13.4, el cual maneja orientación de programación a tipos de
controladores como el que manejamos en este caso F.P.G.A.
Luego de iniciar el programa nos encontraremos con una nueva ventana
como la siguiente :
FIGURA:11
Debemos cerrar el proyecto que se encuentra abierto por defecto; click, en
FILE , luego CLOSE PROJECT, y entonces el programa estará libre para
crear nuestro nuevo proyecto orientado a nuestra implementación; tendremos
la ventana de la siguientes manera :
Entonces iniciamos la estructura de nuestro proyecto:
Seleccionamos FILE>NEW PROJECT, y tendremos en pantalla una ventana
emergente que nos indicara introducir el nombre del nuevo proyecto:
Lo llamaremos TREN_INICIAL , y next a continuación nos dará un vistazo
general de las características de programación de nuestro proyecto a crear ,
como tipo de programador, familia ,tipo de síntesis ,acelerador; y en fin
debemos corroborar que estos datos sean los orientados a nuestra necesidad:
Seleccionamos next, y finalmente finish , entonces tendremos ya la base de
nuestro proyecto.
Ahora debemos agregarle una fuente inicial en la cual ingresaremos los
términos de programación que nos realicen los procesos necesarios:
Seleccionamos el archivo a programar ,click derecho , y new source, y
entonces tendremos una nueva ventana donde nos indicara ingresar el tipo y
nombre de la fuente a crear , seleccionamos VHDL MODULE , y lo llamaremos
fuente inicial y next:
Entonces luego tendremos una nueva ventana donde nos indica la necesidad
de definir las entradas su tipo , las salidas y su tipo , ingresaremos a,b como
entradas lineales, y A,B,C,D como salidas también de solo dos estados cada
una , observemos:
Ahora next , Y finish, entonces en el siguiente pantallazo tendremos la
estructura de nuestro código creado en la nueva fuente , eliminamos los
comentarios por defecto y tendremos el código de la siguiente manera:
Tenemos una fuente base en la cual definimos las entradas y salidas
principales de nuestro proyecto , ahora debemos establecer los pines de
asignación en la tarjeta a su variable correspondiente, la asignación se
realizo usando los conectores de expansión , que recibirían los daros
transmitidos por la fotocelda y de acuerdo a la tabla de valores de asignación
generaría la emisión de daros a través de la salida correspondiente , esta
información se transmitiría a un motor donde generará un impulso:
Luego de tener el código comprobado de desde la opción en el xilinx check
sintax , debemos también generar el archivo .BIT de la programación , este
archivo será el que se cargara a la tarjeta. Para generarlo hacemos lo siguiente
:click en implement desing , este ara un proceso de rectificación del proyecto
en cuanto a estructura necesaria , luego de que este corrobore la
programación y en todas las facetas expresarse todo estas correctamente ,
apareciendo un visto bueno en cada una ,procedemos a generar nuestro
archivo : click en generate programming file , ahora tenemos nuestra
programación completa. seguidamente de tener igualmente el montaje del
circuito ya realizado y cableado a la BASYS entonces pasamos a corroborar
su correcto funcionamiento esperado , ahora hacemos uso de un software que
hace parte del dominio de DIGILENT , ADEPT, el cual está diseñado con el
fin de enrrutar la trasmisión de la programación hacha en XILINX 13.4 al
programador FPGA.
Luego de iniciar el programa pasamos a la face de cargarlo :
De la siguiente manera ; clik en inicializar carga>, en nuestra nueva ventana
nos saldrán dos opciones a programar, F.P.G.A. y ROM , cada una de estas
con la opción de manera paralela para navegar y programar, en esta ocasión
programamos la controladora FPGA, seleccionamos BROWSER, en la carpeta
que se encuentra nuestro proyecto encontraremos el archivo .bit
seleccionamos y luego click en program , el led indicador de encendido en la
BASYS hará una intermitencia y después de esto el código estará
programado en la tarjeta, listo para operar con las fotoceldas.
CONCLUSIONES:
 Realizamos la manipulación de u circuito electrónico a través de la
programación en xilinx código VHDL, lenguaje IEEE, .
 Se comprendió la estructura de un integrado L293B , y a su vez
usarla para nuestros fines temáticos.
 Era necesario realizar un circuito que controlara la polaridad con un
giro , y otro en el cual la polaridad hiciera que girara de manera
contraria , esto en la implementación del montaje del integrado 555.
 Se estableció que lo necesario era generar una tabla de verdad para
obtener la rotación necesaria según los estados de las entradas.
 Se logró establecer una metodología que relacionara nuestros
conocimientos con la práctica actual.

Más contenido relacionado

La actualidad más candente (13)

Combinacionales y secuenciales (1)
Combinacionales y secuenciales (1)Combinacionales y secuenciales (1)
Combinacionales y secuenciales (1)
 
19241850 practica-subred-y-vlsm
19241850 practica-subred-y-vlsm19241850 practica-subred-y-vlsm
19241850 practica-subred-y-vlsm
 
Cuadripolos marzo 2010
Cuadripolos marzo 2010Cuadripolos marzo 2010
Cuadripolos marzo 2010
 
Documents.tips metodo para-el-calculo-de-subredes
Documents.tips metodo para-el-calculo-de-subredesDocuments.tips metodo para-el-calculo-de-subredes
Documents.tips metodo para-el-calculo-de-subredes
 
Curso básico de electrònica digital
Curso básico de electrònica digitalCurso básico de electrònica digital
Curso básico de electrònica digital
 
Compuertas Lógicas
Compuertas LógicasCompuertas Lógicas
Compuertas Lógicas
 
Examen RyS junio2009 resuelto
Examen RyS junio2009 resueltoExamen RyS junio2009 resuelto
Examen RyS junio2009 resuelto
 
Guia ejercicios direccionamiento ip
Guia ejercicios direccionamiento ipGuia ejercicios direccionamiento ip
Guia ejercicios direccionamiento ip
 
106429902 inf-lab-5-circuitos-msi
106429902 inf-lab-5-circuitos-msi106429902 inf-lab-5-circuitos-msi
106429902 inf-lab-5-circuitos-msi
 
practica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displayspractica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displays
 
Guia 04 direccionamiento ip
Guia 04 direccionamiento ipGuia 04 direccionamiento ip
Guia 04 direccionamiento ip
 
Funciones Lógicas Combinatorias
Funciones Lógicas CombinatoriasFunciones Lógicas Combinatorias
Funciones Lógicas Combinatorias
 
Practica compl
Practica complPractica compl
Practica compl
 

Destacado

Percubaan n.melaka b.tamil (k2)
Percubaan n.melaka b.tamil (k2)Percubaan n.melaka b.tamil (k2)
Percubaan n.melaka b.tamil (k2)
SELVAM PERUMAL
 
Responsabilidad, sentido para la vida
Responsabilidad, sentido para la vidaResponsabilidad, sentido para la vida
Responsabilidad, sentido para la vida
ArielJPG
 
Sensores de tecnologia tarea power point!! (2)
Sensores de tecnologia tarea power point!! (2)Sensores de tecnologia tarea power point!! (2)
Sensores de tecnologia tarea power point!! (2)
Erick Ruiz Almanza
 
Amplificador operacional de instrumentacion
Amplificador operacional de  instrumentacionAmplificador operacional de  instrumentacion
Amplificador operacional de instrumentacion
Franklin J.
 
Imágenes formadas por espejos concavos y convexos
Imágenes  formadas por  espejos  concavos  y  convexosImágenes  formadas por  espejos  concavos  y  convexos
Imágenes formadas por espejos concavos y convexos
Franklin J.
 

Destacado (15)

Percubaan n.melaka b.tamil (k2)
Percubaan n.melaka b.tamil (k2)Percubaan n.melaka b.tamil (k2)
Percubaan n.melaka b.tamil (k2)
 
Coneltiempo 2
Coneltiempo 2Coneltiempo 2
Coneltiempo 2
 
Responsabilidad, sentido para la vida
Responsabilidad, sentido para la vidaResponsabilidad, sentido para la vida
Responsabilidad, sentido para la vida
 
Tenerife - Otra Forma de Verla
Tenerife - Otra Forma de VerlaTenerife - Otra Forma de Verla
Tenerife - Otra Forma de Verla
 
Меморандум с МВФ
Меморандум с МВФМеморандум с МВФ
Меморандум с МВФ
 
Sunu9
Sunu9Sunu9
Sunu9
 
vhdl
vhdlvhdl
vhdl
 
Sensores de tecnologia tarea power point!! (2)
Sensores de tecnologia tarea power point!! (2)Sensores de tecnologia tarea power point!! (2)
Sensores de tecnologia tarea power point!! (2)
 
Aplicaciones de los diodos
Aplicaciones de los diodosAplicaciones de los diodos
Aplicaciones de los diodos
 
Amplificador operacional de instrumentacion
Amplificador operacional de  instrumentacionAmplificador operacional de  instrumentacion
Amplificador operacional de instrumentacion
 
Imágenes formadas por espejos concavos y convexos
Imágenes  formadas por  espejos  concavos  y  convexosImágenes  formadas por  espejos  concavos  y  convexos
Imágenes formadas por espejos concavos y convexos
 
Diseño de seguidor para luz mediante fotorresistencias pdf
Diseño de seguidor  para luz mediante fotorresistencias pdfDiseño de seguidor  para luz mediante fotorresistencias pdf
Diseño de seguidor para luz mediante fotorresistencias pdf
 
Difraccion
DifraccionDifraccion
Difraccion
 
Proyecto circuitos integrados analógicos
Proyecto circuitos integrados analógicosProyecto circuitos integrados analógicos
Proyecto circuitos integrados analógicos
 
Control de motor dc Programacion en VHDL
Control de motor dc Programacion en VHDL Control de motor dc Programacion en VHDL
Control de motor dc Programacion en VHDL
 

Similar a Circuitos digitales uso de basys

Fase5_10_ELECTRÓNICA DIGITAL
Fase5_10_ELECTRÓNICA DIGITALFase5_10_ELECTRÓNICA DIGITAL
Fase5_10_ELECTRÓNICA DIGITAL
Keny Hans
 
Contador de leds con puerto paralelo macho db 25 cu zumpango.
Contador de leds con puerto paralelo macho db 25 cu zumpango.Contador de leds con puerto paralelo macho db 25 cu zumpango.
Contador de leds con puerto paralelo macho db 25 cu zumpango.
Leida Zuñiga
 
2 plcs s7 1200 com-cpu_cpu - copia
2 plcs s7 1200 com-cpu_cpu - copia2 plcs s7 1200 com-cpu_cpu - copia
2 plcs s7 1200 com-cpu_cpu - copia
jose2225
 
Club 077 montajes de instrumental electronico 2
Club 077 montajes de instrumental electronico 2Club 077 montajes de instrumental electronico 2
Club 077 montajes de instrumental electronico 2
MarcosGSavedra
 

Similar a Circuitos digitales uso de basys (20)

DISPLAY 7 SEGMENTOS CIRCUITOS DIGITALES
DISPLAY 7 SEGMENTOS CIRCUITOS DIGITALESDISPLAY 7 SEGMENTOS CIRCUITOS DIGITALES
DISPLAY 7 SEGMENTOS CIRCUITOS DIGITALES
 
Fase5_10_ELECTRÓNICA DIGITAL
Fase5_10_ELECTRÓNICA DIGITALFase5_10_ELECTRÓNICA DIGITAL
Fase5_10_ELECTRÓNICA DIGITAL
 
Proy iker4
Proy iker4Proy iker4
Proy iker4
 
Informe Seguidor De Linea
Informe Seguidor De LineaInforme Seguidor De Linea
Informe Seguidor De Linea
 
Robot Seguidor de Linea
Robot Seguidor de LineaRobot Seguidor de Linea
Robot Seguidor de Linea
 
Contador de leds con puerto paralelo macho db 25 cu zumpango.
Contador de leds con puerto paralelo macho db 25 cu zumpango.Contador de leds con puerto paralelo macho db 25 cu zumpango.
Contador de leds con puerto paralelo macho db 25 cu zumpango.
 
Suma de dos digitos en BCD
Suma de dos digitos en BCDSuma de dos digitos en BCD
Suma de dos digitos en BCD
 
F3 edwin rodriguez_203055_3 (1)
F3 edwin rodriguez_203055_3 (1)F3 edwin rodriguez_203055_3 (1)
F3 edwin rodriguez_203055_3 (1)
 
Construcciondeun pl cconpic
Construcciondeun pl cconpicConstrucciondeun pl cconpic
Construcciondeun pl cconpic
 
Carro a control remoto por Zigbee IEE802.15.4
Carro a control remoto por  Zigbee IEE802.15.4Carro a control remoto por  Zigbee IEE802.15.4
Carro a control remoto por Zigbee IEE802.15.4
 
Seguidor de linea y metodo de quine mcclusky
Seguidor de linea y metodo de quine mccluskySeguidor de linea y metodo de quine mcclusky
Seguidor de linea y metodo de quine mcclusky
 
Modulo dibujo ii de xi listo
Modulo dibujo ii de xi   listo Modulo dibujo ii de xi   listo
Modulo dibujo ii de xi listo
 
Brazo
BrazoBrazo
Brazo
 
Brazo Robótico
Brazo RobóticoBrazo Robótico
Brazo Robótico
 
2 plcs s7 1200 com-cpu_cpu - copia
2 plcs s7 1200 com-cpu_cpu - copia2 plcs s7 1200 com-cpu_cpu - copia
2 plcs s7 1200 com-cpu_cpu - copia
 
2 plcs s7 1200 com-cpu_cpu
2 plcs s7 1200 com-cpu_cpu2 plcs s7 1200 com-cpu_cpu
2 plcs s7 1200 com-cpu_cpu
 
Robotica uwu
Robotica uwuRobotica uwu
Robotica uwu
 
Carro a control remoto Zigbee IEE802.15.4
Carro a control remoto Zigbee IEE802.15.4Carro a control remoto Zigbee IEE802.15.4
Carro a control remoto Zigbee IEE802.15.4
 
Club 077 montajes de instrumental electronico 2
Club 077 montajes de instrumental electronico 2Club 077 montajes de instrumental electronico 2
Club 077 montajes de instrumental electronico 2
 
Banda transportadora-controlada-con-fpga-en-vhdl
Banda transportadora-controlada-con-fpga-en-vhdlBanda transportadora-controlada-con-fpga-en-vhdl
Banda transportadora-controlada-con-fpga-en-vhdl
 

Más de Franklin J. (12)

amplificador de sonido
amplificador de sonidoamplificador de sonido
amplificador de sonido
 
Aplicaciones de los diodos recortadores
Aplicaciones  de los  diodos recortadoresAplicaciones  de los  diodos recortadores
Aplicaciones de los diodos recortadores
 
fenomenos ondulatorios
fenomenos ondulatoriosfenomenos ondulatorios
fenomenos ondulatorios
 
Difracción de la luz
Difracción de la luzDifracción de la luz
Difracción de la luz
 
Filtros activos con amplificador operacional
Filtros activos con amplificador operacionalFiltros activos con amplificador operacional
Filtros activos con amplificador operacional
 
Amplificador lm741 integrador y diferenciador pd
Amplificador lm741 integrador y  diferenciador pdAmplificador lm741 integrador y  diferenciador pd
Amplificador lm741 integrador y diferenciador pd
 
Aplicación de modulos RF-radio control
Aplicación de modulos RF-radio controlAplicación de modulos RF-radio control
Aplicación de modulos RF-radio control
 
Amplificador diferencial pdf
Amplificador  diferencial pdfAmplificador  diferencial pdf
Amplificador diferencial pdf
 
Amplificador operacional no inversor lm741 pdf
Amplificador operacional no inversor lm741 pdfAmplificador operacional no inversor lm741 pdf
Amplificador operacional no inversor lm741 pdf
 
Amplificador lm741 d.a pd
Amplificador lm741 d.a pdAmplificador lm741 d.a pd
Amplificador lm741 d.a pd
 
Procesamiento de imagenes python con raspberri pi 2
Procesamiento de imagenes python con raspberri pi 2Procesamiento de imagenes python con raspberri pi 2
Procesamiento de imagenes python con raspberri pi 2
 
Acceso y funciones
Acceso  y  funcionesAcceso  y  funciones
Acceso y funciones
 

Último

NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptxNTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
BRAYANJOSEPTSANJINEZ
 
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
gustavoiashalom
 
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdfLA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
bcondort
 
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
nicolascastaneda8
 

Último (20)

Mapas y cartas topográficas y de suelos.pptx
Mapas y cartas topográficas y de suelos.pptxMapas y cartas topográficas y de suelos.pptx
Mapas y cartas topográficas y de suelos.pptx
 
Herramientas de la productividad - Revit
Herramientas de la productividad - RevitHerramientas de la productividad - Revit
Herramientas de la productividad - Revit
 
Desigualdades e inecuaciones-convertido.pdf
Desigualdades e inecuaciones-convertido.pdfDesigualdades e inecuaciones-convertido.pdf
Desigualdades e inecuaciones-convertido.pdf
 
DIAPOSITIVAS DE SEGURIDAD Y SALUD EN EL TRABAJO
DIAPOSITIVAS DE SEGURIDAD Y SALUD EN EL TRABAJODIAPOSITIVAS DE SEGURIDAD Y SALUD EN EL TRABAJO
DIAPOSITIVAS DE SEGURIDAD Y SALUD EN EL TRABAJO
 
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptxNTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
 
UNIDAD 3 ELECTRODOS.pptx para biopotenciales
UNIDAD 3 ELECTRODOS.pptx para biopotencialesUNIDAD 3 ELECTRODOS.pptx para biopotenciales
UNIDAD 3 ELECTRODOS.pptx para biopotenciales
 
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
 
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdfLA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
 
2. Cristaloquimica. ingenieria geologica
2. Cristaloquimica. ingenieria geologica2. Cristaloquimica. ingenieria geologica
2. Cristaloquimica. ingenieria geologica
 
Estadística Anual y Multianual del Sector Eléctrico Ecuatoriano
Estadística Anual y Multianual del Sector Eléctrico EcuatorianoEstadística Anual y Multianual del Sector Eléctrico Ecuatoriano
Estadística Anual y Multianual del Sector Eléctrico Ecuatoriano
 
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
 
MANTENIBILIDAD Y CONFIABILIDAD DE LOS SISTEMAS MECANICOS
MANTENIBILIDAD Y CONFIABILIDAD DE LOS SISTEMAS MECANICOSMANTENIBILIDAD Y CONFIABILIDAD DE LOS SISTEMAS MECANICOS
MANTENIBILIDAD Y CONFIABILIDAD DE LOS SISTEMAS MECANICOS
 
Practica PLC MIcrologix 1400 con pantalla HMI y servomotor
Practica PLC MIcrologix 1400 con pantalla HMI y servomotorPractica PLC MIcrologix 1400 con pantalla HMI y servomotor
Practica PLC MIcrologix 1400 con pantalla HMI y servomotor
 
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfReporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
 
Sesion 6 _ Curso Integrador II_TSZVQJ.pdf
Sesion 6 _ Curso Integrador II_TSZVQJ.pdfSesion 6 _ Curso Integrador II_TSZVQJ.pdf
Sesion 6 _ Curso Integrador II_TSZVQJ.pdf
 
Resistencia-a-los-antimicrobianos--laboratorio-al-cuidado-del-paciente_Marcel...
Resistencia-a-los-antimicrobianos--laboratorio-al-cuidado-del-paciente_Marcel...Resistencia-a-los-antimicrobianos--laboratorio-al-cuidado-del-paciente_Marcel...
Resistencia-a-los-antimicrobianos--laboratorio-al-cuidado-del-paciente_Marcel...
 
introducción a las comunicaciones satelitales
introducción a las comunicaciones satelitalesintroducción a las comunicaciones satelitales
introducción a las comunicaciones satelitales
 
Propuesta para la creación de un Centro de Innovación para la Refundación ...
Propuesta para la creación de un Centro de Innovación para la Refundación ...Propuesta para la creación de un Centro de Innovación para la Refundación ...
Propuesta para la creación de un Centro de Innovación para la Refundación ...
 
Six Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo processSix Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo process
 
nomenclatura de equipo electrico en subestaciones
nomenclatura de equipo electrico en subestacionesnomenclatura de equipo electrico en subestaciones
nomenclatura de equipo electrico en subestaciones
 

Circuitos digitales uso de basys

  • 1. UNIVERSIDAD DE LOS LLANOS FACULTAD DE CIENCIAS BASICAS E INGENIERIA PROGRAMA DE INGENIERIA ELECTRONICA FRANKLIN JANCOVICK VARON HUERTAS-161003027 DANIEL ORLANDO PINZON CONDE-161003017 MIGUEL ANGEL ROJAS POBEDA-161003021 VILLAVICENCIO-META MARZO DE 2013
  • 2. PASO A NIVEL DE FERROCARRIL BASYS 2 - SPARTAM3E100CP132 FPGA CIRCUITOS DIGITALES I ING.CESAR ROMERO UNILLANOS VILLAVICENCIO-META 2013
  • 3. OBJETIVOS:  Diseñar el paso a nivel de un ferrocarril con uso de la metodología combinacional de xilinx.  Se pretende relacionar un tipo se sensor ( una fotocelda en este caso) Que reaccione con el paso del tren.  Conocer de manera practica la estructura del integrado l293b.  Encontrar una manera de relacionar los conceptos proporcionados por el curso para esta implementación.  Definir una metodología especial que nos relacionara nuestras necesidades con nuestros conceptos.
  • 4. Se pretende de manera programable controlar el paso a nivel de un tren , con uso del lenguaje de programación IEEE, en código VHDL, . como controlador utilizaremos el controlador FPGA de una tarjeta BASYS 2. Se pretende incorporar a la parcita de laboratorio alguna expresión de sensor con el cual al paso del tren sobre su pista , que se cruza perpendicularmente con la via de automóviles en dos ocasiones , se activen y generen un pulso de entrada definido y orientado a la BASYS , el cual como orden programada genere otro pulso de salida que se transmita desde la FPGA al montaje y este se distribuya de manera enfática en dos motores , que están ubicados en la margen de la vía de automóviles de manera paralela; para que realicen una rotación de - 90º con respecto al eje positivo de las abscisas , sobre la vía de los automóviles con una barra en su extremo útil, y simbolicen la prohibición del paso a los mismos por presencia del tren. Y que luego de el tren alcanzar una cierta de distancia fuera de esta faceta tratada, y al generar un nuevo impulso en otro sensor , este sea una carga de entrada a la tarjeta y esta reacciones generando otro impulso dirigido a los motores para que las barras nuevamente realicen una rotación de 90º , con respecto al eje de las abscisas , es decir volver a su estado inicial para que las vías queden simbólicamente libres y la movilidad a través de la misma puede ser posible. A continuación realizamos la estructura de nuestro proyecto: PROCEDIMIENTO: DISEÑO DE LA MAQUETA: Inicialmente pretendimos diseñar la simulación a escala de la via de un tren. Debemos tener en cuenta tanto como las medidas del tren como los elementos que pretendemos incorporar a la construcción a escala como el motor , barras y algunas partes que añadiremos a la maqueta para redondear más su diseño. Para el diseño de nuestra maqueta son materiales determinantes los siguientes materiales: -cartón paja -tijeras -silicona
  • 5. -pistola de silicona -temperas -pincel -regla  De manera inicial procedimos a definir las medidas de la base, con referencia en el tamaño de la pista, sobre la cual se desplazara el tren. Esta pista presentaba las siguientes medidas: 100 cm, de largo y 30 cm de ancho. Para realizar la base usábamos como principio un pliego de cartón paja; deducimos que era posible el corte del pliego a la mitad; Dejando también un pequeño cuadro sobrante para la designación de la vía automovilística, con el fin de que esta tenga mayor tamaño. Luego de tener la base con sus medidas correctas procedimos a adicionarle color . a la vía de transitaciòn automovilística le dimos color negro de manera común . y el espacio restante a las orillas le implementamos un color natural; color de vegetación. Luego de terminar el pintado tendremos una estructura de la maqueta como la siguiente:
  • 6. DISEÑO DEL CIRCUITO –SENSOR DETECTOR DISEÑO DEL CIRCUITO:INTEGRADO 555 Para este circuito preseidos de los siguientes elementos: -protoboard -cable UTP - integrado 555 -integrado L293L -condensador electrolítico de 10 uf -condensador cerámico de 100 nf -fotocelda de 5v -motor reductor -resistencias: 220 ohm, 4.7 k,470 ohm. -bombillo led  Procedimos a incorporar inicialmente a la tabla ahuecada dispuesta en hileras (protoboard) el integrado 555.  A continuación describimos su estructura para realizar las conexiones del circuito:
  • 7.  Ahora podemos realizar el cableado de los pines según su funcionamiento:  Las conexiones se realizaron de la siguiente manera:  PIN 1: conexión GND del montaje.  PIN2:conexión con un extremo de la fotocelda, El otro extremo a la conexión VCC del montaje. E igualmente una resistencia de 4.7k que tiene su otro extremo a GND , del circuito.  PIN3: esta es el resultado de la información proporcionada por la fotocelda; presenta la conexión de una resistencia de 470 ohmios , y el otro extremo a la polaridad positiva de un bombillo led como prueba; la polaridad negativa del led se orienta a el GND común.  PIN 4: conexión VCC del circuito.  PIN 5: conexión con un condensador cerámico de 100 nf, el otro extremo del condensador a la polaridad GND del circuito.  PIN 6: conexión de condensador electrolítico de 100uf con u polaridad positiva y la negativa a GND.; de este pin también sale una conexión al pin 7.  PIN 7: conexión con uso de un resistencia al pin 8.  PIN 8:conexión a VCC. De manera gráfica el circuito combinacional es de la siguiente manera:
  • 8. Luego de realizar las conexiones sobre la protoboard tendremos un circuito como el siguiente: CIRCUITO: INTEGRADO L293B A continuación realizaremos la implementación del circuito para el integrado L293B ; en este era indispensable presidir de los siguientes materiales: -diodos (8) - cable - integrado l2293b. Para proceder a realizar sus conexiones correspondientes que nos arrojaran los resultados propuestos , que es su correcto funcionamiento definimos inicialmente su estructura: FIGURA:7
  • 9. Las conexiones se realizan de la siguiente manera:  PIN 1: conexión entrada de voltaje de canal 1.  PIN 2: conexión a BASYS , según su asignación de pines.  PIN 3:conexión al motor A  PIN 4: este pin presenta conexión a GND del circuito.  PIN 5: este pin presenta conexión a GND del circuito.  PIN 6: conexión a motor B. la  PIN7: conexión a la tarjeta BASYS .  PIN 8: conexión a VCC del circuito  PIN 9:conexión a VCC , del circuito.  PIN 10: conexión a pin asignado de la BASYS  PIN 11: conexión a motor C  PIN 12: este pin presenta conexión a GND del circuito.  PIN 13: este pin presenta conexión a GND del circuito.  PIN 14: conexión a motor D  PIN 15: conexión a la tarjeta BASYS.  PIN 16: este pin presenta conexión a VCC del circuito. Luego de realizar todas las conexión de manera corroborada a los parámetros estipulados por el modelo anterior de la figura 7 , tendremos un circuito similar al siguiente : FIGURA :8
  • 10. Ahora observaremos el montaje completo que se realizo en la protoboard, será entonces la figura 7 junto la figura 8: FIGURA:9 Ahora obsevaremos las conexiones que se realizaron con la BASYS 2: FIGURA:10 Con esto hemos dado por terminado la parte de implementación de hardware del proyecto , y seguidamente pasamos a realizar la programación a corde con la necesidad. IMPLEMENTACION DEL CODIGO DE PROGRAMACION-VHDL Esta programación en lenguaje IEEE, código VHDL se realiza del software
  • 11. XILINX 13.4, el cual maneja orientación de programación a tipos de controladores como el que manejamos en este caso F.P.G.A. Luego de iniciar el programa nos encontraremos con una nueva ventana como la siguiente : FIGURA:11 Debemos cerrar el proyecto que se encuentra abierto por defecto; click, en FILE , luego CLOSE PROJECT, y entonces el programa estará libre para crear nuestro nuevo proyecto orientado a nuestra implementación; tendremos la ventana de la siguientes manera : Entonces iniciamos la estructura de nuestro proyecto: Seleccionamos FILE>NEW PROJECT, y tendremos en pantalla una ventana emergente que nos indicara introducir el nombre del nuevo proyecto:
  • 12. Lo llamaremos TREN_INICIAL , y next a continuación nos dará un vistazo general de las características de programación de nuestro proyecto a crear , como tipo de programador, familia ,tipo de síntesis ,acelerador; y en fin debemos corroborar que estos datos sean los orientados a nuestra necesidad: Seleccionamos next, y finalmente finish , entonces tendremos ya la base de nuestro proyecto. Ahora debemos agregarle una fuente inicial en la cual ingresaremos los términos de programación que nos realicen los procesos necesarios: Seleccionamos el archivo a programar ,click derecho , y new source, y entonces tendremos una nueva ventana donde nos indicara ingresar el tipo y
  • 13. nombre de la fuente a crear , seleccionamos VHDL MODULE , y lo llamaremos fuente inicial y next: Entonces luego tendremos una nueva ventana donde nos indica la necesidad de definir las entradas su tipo , las salidas y su tipo , ingresaremos a,b como entradas lineales, y A,B,C,D como salidas también de solo dos estados cada una , observemos: Ahora next , Y finish, entonces en el siguiente pantallazo tendremos la estructura de nuestro código creado en la nueva fuente , eliminamos los comentarios por defecto y tendremos el código de la siguiente manera:
  • 14. Tenemos una fuente base en la cual definimos las entradas y salidas principales de nuestro proyecto , ahora debemos establecer los pines de asignación en la tarjeta a su variable correspondiente, la asignación se realizo usando los conectores de expansión , que recibirían los daros transmitidos por la fotocelda y de acuerdo a la tabla de valores de asignación generaría la emisión de daros a través de la salida correspondiente , esta información se transmitiría a un motor donde generará un impulso: Luego de tener el código comprobado de desde la opción en el xilinx check sintax , debemos también generar el archivo .BIT de la programación , este archivo será el que se cargara a la tarjeta. Para generarlo hacemos lo siguiente :click en implement desing , este ara un proceso de rectificación del proyecto en cuanto a estructura necesaria , luego de que este corrobore la programación y en todas las facetas expresarse todo estas correctamente , apareciendo un visto bueno en cada una ,procedemos a generar nuestro archivo : click en generate programming file , ahora tenemos nuestra programación completa. seguidamente de tener igualmente el montaje del circuito ya realizado y cableado a la BASYS entonces pasamos a corroborar su correcto funcionamiento esperado , ahora hacemos uso de un software que hace parte del dominio de DIGILENT , ADEPT, el cual está diseñado con el fin de enrrutar la trasmisión de la programación hacha en XILINX 13.4 al programador FPGA. Luego de iniciar el programa pasamos a la face de cargarlo : De la siguiente manera ; clik en inicializar carga>, en nuestra nueva ventana nos saldrán dos opciones a programar, F.P.G.A. y ROM , cada una de estas con la opción de manera paralela para navegar y programar, en esta ocasión programamos la controladora FPGA, seleccionamos BROWSER, en la carpeta que se encuentra nuestro proyecto encontraremos el archivo .bit seleccionamos y luego click en program , el led indicador de encendido en la BASYS hará una intermitencia y después de esto el código estará programado en la tarjeta, listo para operar con las fotoceldas.
  • 15. CONCLUSIONES:  Realizamos la manipulación de u circuito electrónico a través de la programación en xilinx código VHDL, lenguaje IEEE, .  Se comprendió la estructura de un integrado L293B , y a su vez usarla para nuestros fines temáticos.  Era necesario realizar un circuito que controlara la polaridad con un giro , y otro en el cual la polaridad hiciera que girara de manera contraria , esto en la implementación del montaje del integrado 555.  Se estableció que lo necesario era generar una tabla de verdad para obtener la rotación necesaria según los estados de las entradas.  Se logró establecer una metodología que relacionara nuestros conocimientos con la práctica actual.