SlideShare una empresa de Scribd logo
1 de 33
FPGAs libres
Juan González Gómez (Obijuan)
OSHWDem 2016
5 de Noviembre de 2016
A Coruña, Museo Domus https://github.com/Obijuan/myslides
https://github.com/Obijuan
Nuevas tecnologías:
Mundo de cajas negras
Patrimonio Tecnológico de la humanidad
Software
Libre
Hardware
Libre
Reprap
Pasemos al siguiente nivel:
Chips digitales
Viaje al interior de los chips digitales
● Nivel de electrónica digital
● Información: Sólo 1s y 0s (Bits)
● Función: Manipular, almacenar y transportar bits
Elementos en circuitos digitales
Cualquier circuito digital, por muy complejo que sea,
se descompone en estos 3 tipos de componentes
elementales
La electrónica digital es intuitiva y…
¡ Divertida !
APP:
Circuit
Scramble
FPGAs: Implementando circuitos
digitales
FPGA: Chip “en blanco” que contiene una matriz con los 3 componentes
básicos: puertas lógicas, biestables y cables
Circuito 1 Circuito 2
Configuración
¡FPGAs = Impresoras 3D de circuitos digitales!
El hardware es software
● El hardware libre es igual al software libre
● Muy fácil de compartir
● Telecopias del hardware
● Desarrollo de hardware en comunidad
HDL
PARTE II:
HERRAMIENTAS LIBRES
FPGAs: Sólo personal autorizado
FPGAs libres: El renacimiento
● Proyecto Icestorm (Mayo, 2015)
● La primera toolchain que permiten pasar de Verilog al bitstream
usando sólo Herramientas libres
FPGAs libres
● Familia Lattice iCE40
● Sólo Lenguaje Verilog
Denominamos FPGAs libres a aquellas FPGAs que disponen de una
toolchain totalmente libre
● Definición:
● FPGAs libres actualmente:
http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx
Flujo de trabajo
Diseño Ficheros HDL
Bitstream
Síntesis
Configuración
¡Usando sólo herramientas libres!
Pila de herramientas libres
https://github.com/FPGAwars/icestudio
● Autor: Jesús Arroyo
● Electrónica digital para todos
● Sin conocimientos de verilog
● Herramienta visual
● Traduce a verilog
PARTE III:
¿Cómo empiezo?
Paso 1: Consigue una placa
Con FPGA libre
Icestick
Go-board
● Conexión directa al PC (USB)
● Soportadas por Apio/Icestudio
iCE40-HX8K Breakout Board
icoboard
● Conexión a Raspberry PI
● Soportada por Apio/Icestudio
NO Soportadas por Apio/Icestudio
Mystorm iCE40HX1K-EVB
Icezum Alhambra v1.1
● Autor: Eladio Delgado
● Diseñada en Pinos del Valle
(Granada)
● Arduino de las FPGAs
● Compatible Arduino
● Fácil conexión de circuitos
externos/sensores/servos
● Reutilización de los shields de
arduino
● 20 entradas/salidas de 5v
● 3A corriente de entrada
● Perfecta para hacer robots
https://github.com/FPGAwars/icezum/wiki
Icezum Alhambra v1.1
8 leds de pruebas Pulsadores
de prueba
Conector
micro-usb
Alimentación
6-17v 3A
Interruptor
On/off
Pines io 3v3
Conexión directa de
Sensores / actuadores
4 entradas
analógicas i2c
Pulsador de
reset
Rasty
● FPGA Fun!
● 8 Alhambra-leds
● Alimentación: power bank
Sonidos simples con Zumbador
● Conexión a una placa con
Zumbador
● Melodías básica
● ¡Fácil meter varios canales!
Larby: Robot modular
● Servos conectados directamente a
Icezum Alhambra
● Configuración mínima pitch-pitch
● Módulo impresos en 3D
Icezum Alhambra peregrina
● A.k.a CalmaSAV
● Empieza:
Rinconingenieril.es
● Ámbito: Toda España
● Coordinado desde la lista
de FPGAwars
Paso 3: Aprende Diseño digital y Verilog
Tutorial: Diseño Digital para FPGAs, con herramientas libres
Paso 2: Instálate Icestudio / Apio
Paso 4: Apúntate a la comunidad
FPGAwars
● Comunidad para compartir conocimiento
relacionado con FPGAs libres
● Es el clonewars de las FPGAs, pero en
modesto :-)
● Idioma: Castellano
● 194 miembros
● Cualquier pregunta / comentario /
sugerencia → Correo a la lista :-)
http://fpgawars.github.io/
Paso 5: Haz tus propios proyectos con FPGAs libres
Paso 6: ¡Comparte tu proyecto con la comunidad! :-)
FPGAs en Patrimonio Tecnológico de la humanidad
Software
Libre
Hardware
Libre
Reprap
FPG
As
libres
O
tros
FPGAs libres
Juan González Gómez (Obijuan)
OSHWDem 2016
5 de Noviembre de 2016
A Coruña, Museo Domus https://github.com/Obijuan/myslides
https://github.com/Obijuan

Más contenido relacionado

La actualidad más candente

Presentacion Arduino PowerPoint
Presentacion Arduino PowerPointPresentacion Arduino PowerPoint
Presentacion Arduino PowerPoint
cristianperea
 
Introducción a Arduino - Parte I
Introducción a Arduino - Parte IIntroducción a Arduino - Parte I
Introducción a Arduino - Parte I
Víctor R. Ruiz
 
Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
Moises Nuñez Silva
 
Taller de Arduino - ¿Qué es Arduino?
Taller de Arduino - ¿Qué es Arduino?Taller de Arduino - ¿Qué es Arduino?
Taller de Arduino - ¿Qué es Arduino?
mrquesito
 

La actualidad más candente (20)

Presentacion Arduino PowerPoint
Presentacion Arduino PowerPointPresentacion Arduino PowerPoint
Presentacion Arduino PowerPoint
 
Arduino iti-2016
Arduino iti-2016Arduino iti-2016
Arduino iti-2016
 
Intro al beaglebone black makerspe
Intro al beaglebone black   makerspeIntro al beaglebone black   makerspe
Intro al beaglebone black makerspe
 
Open hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con ArduinoOpen hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con Arduino
 
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
 
Tarjetas arduino
Tarjetas arduinoTarjetas arduino
Tarjetas arduino
 
Arduino práctico comunicaciones - serie
Arduino práctico   comunicaciones - serieArduino práctico   comunicaciones - serie
Arduino práctico comunicaciones - serie
 
Introducción a Arduino - Parte I
Introducción a Arduino - Parte IIntroducción a Arduino - Parte I
Introducción a Arduino - Parte I
 
Programación del ESP8266 con el IDE de Arduino
Programación del ESP8266 con el IDE de ArduinoProgramación del ESP8266 con el IDE de Arduino
Programación del ESP8266 con el IDE de Arduino
 
Arduino práctico comunicaciones
Arduino práctico   comunicacionesArduino práctico   comunicaciones
Arduino práctico comunicaciones
 
Seminario de arduino DeustoTech
Seminario de arduino DeustoTechSeminario de arduino DeustoTech
Seminario de arduino DeustoTech
 
Introducción a Arduino
Introducción a ArduinoIntroducción a Arduino
Introducción a Arduino
 
Arduino presentacion
Arduino presentacionArduino presentacion
Arduino presentacion
 
Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
 
Introduccion a arduino 97
Introduccion a arduino 97Introduccion a arduino 97
Introduccion a arduino 97
 
Arduino
ArduinoArduino
Arduino
 
Taller de Arduino en Cylicon Valley - Semana 2
Taller de Arduino en Cylicon Valley - Semana 2Taller de Arduino en Cylicon Valley - Semana 2
Taller de Arduino en Cylicon Valley - Semana 2
 
Taller de Arduino - ¿Qué es Arduino?
Taller de Arduino - ¿Qué es Arduino?Taller de Arduino - ¿Qué es Arduino?
Taller de Arduino - ¿Qué es Arduino?
 
Arduino
ArduinoArduino
Arduino
 
Introducción a Arduino (II)
Introducción a Arduino (II)Introducción a Arduino (II)
Introducción a Arduino (II)
 

Destacado

DILEEP RESUME PRESENT
DILEEP RESUME PRESENTDILEEP RESUME PRESENT
DILEEP RESUME PRESENT
dileep kumar
 
Safety Risk Assessment for Aircraft Fuel Management (VU)
Safety Risk Assessment for Aircraft Fuel Management (VU)Safety Risk Assessment for Aircraft Fuel Management (VU)
Safety Risk Assessment for Aircraft Fuel Management (VU)
Viktor Gregor
 
Paul Richmond resume 2015
Paul Richmond resume 2015Paul Richmond resume 2015
Paul Richmond resume 2015
Paul Richmond
 

Destacado (15)

Great Scott! Dealing with New Datatypes
Great Scott! Dealing with New DatatypesGreat Scott! Dealing with New Datatypes
Great Scott! Dealing with New Datatypes
 
DILEEP RESUME PRESENT
DILEEP RESUME PRESENTDILEEP RESUME PRESENT
DILEEP RESUME PRESENT
 
айболит
айболитайболит
айболит
 
API Content Marketing
API Content MarketingAPI Content Marketing
API Content Marketing
 
Ulnar nerve
Ulnar nerveUlnar nerve
Ulnar nerve
 
Safety Risk Assessment for Aircraft Fuel Management (VU)
Safety Risk Assessment for Aircraft Fuel Management (VU)Safety Risk Assessment for Aircraft Fuel Management (VU)
Safety Risk Assessment for Aircraft Fuel Management (VU)
 
GPS & GIS
GPS & GISGPS & GIS
GPS & GIS
 
Mapas conceptuales de la empresa Alliance Boots
Mapas conceptuales de la empresa Alliance BootsMapas conceptuales de la empresa Alliance Boots
Mapas conceptuales de la empresa Alliance Boots
 
Microbial Fuel Cell
Microbial Fuel CellMicrobial Fuel Cell
Microbial Fuel Cell
 
Día de la mujer trabajadora
Día de la mujer trabajadoraDía de la mujer trabajadora
Día de la mujer trabajadora
 
It Works On My Machine: Vagrant for Software Development
It Works On My Machine: Vagrant for Software DevelopmentIt Works On My Machine: Vagrant for Software Development
It Works On My Machine: Vagrant for Software Development
 
Proyecto # 3
Proyecto # 3Proyecto # 3
Proyecto # 3
 
Paul Richmond resume 2015
Paul Richmond resume 2015Paul Richmond resume 2015
Paul Richmond resume 2015
 
Seattle bestpractices2010
Seattle bestpractices2010Seattle bestpractices2010
Seattle bestpractices2010
 
Schoolagenda
SchoolagendaSchoolagenda
Schoolagenda
 

Similar a 2016 11-05-osh wdem16-fpgas-libres

Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
Moises Nuñez Silva
 
Curso de introducción a arduino
Curso de introducción a arduinoCurso de introducción a arduino
Curso de introducción a arduino
3D Print Barcelona
 

Similar a 2016 11-05-osh wdem16-fpgas-libres (20)

Electrónica digital divertica con FPGAs Libres
Electrónica digital divertica con FPGAs LibresElectrónica digital divertica con FPGAs Libres
Electrónica digital divertica con FPGAs Libres
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libres
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libres
 
Charla
CharlaCharla
Charla
 
Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
 
Tarjeta arduino
Tarjeta arduinoTarjeta arduino
Tarjeta arduino
 
Curso de introducción a arduino
Curso de introducción a arduinoCurso de introducción a arduino
Curso de introducción a arduino
 
Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017
 
Diferentes tipos de arduino
Diferentes tipos de arduinoDiferentes tipos de arduino
Diferentes tipos de arduino
 
Arduino's
Arduino'sArduino's
Arduino's
 
Tarjeta arduino
Tarjeta arduinoTarjeta arduino
Tarjeta arduino
 
Sustentacion de arduino
Sustentacion de arduinoSustentacion de arduino
Sustentacion de arduino
 
Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014
 
GUIA BASICA ARDUINO
GUIA BASICA ARDUINOGUIA BASICA ARDUINO
GUIA BASICA ARDUINO
 
Tarjetas arduino 1
Tarjetas arduino 1Tarjetas arduino 1
Tarjetas arduino 1
 
Arduino IEEE SB UMH - Mario Pérez Esteso
Arduino IEEE SB UMH - Mario Pérez EstesoArduino IEEE SB UMH - Mario Pérez Esteso
Arduino IEEE SB UMH - Mario Pérez Esteso
 
Seminari
SeminariSeminari
Seminari
 
Electronica. Arduino
Electronica. ArduinoElectronica. Arduino
Electronica. Arduino
 
Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014
 
Placas Arduino
Placas ArduinoPlacas Arduino
Placas Arduino
 

Más de obijuan_cube

Más de obijuan_cube (11)

Nosotros compartimos
Nosotros compartimosNosotros compartimos
Nosotros compartimos
 
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
 
Let's build modular robots!
Let's build modular robots!Let's build modular robots!
Let's build modular robots!
 
PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012
 
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evoluciónIMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
 
PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012
 
Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012
 
PrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimiblesPrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimibles
 
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
 
Latinoware: Robots libres e Imprimibles
Latinoware: Robots libres e ImprimiblesLatinoware: Robots libres e Imprimibles
Latinoware: Robots libres e Imprimibles
 
Robots libres e Imprimibles
Robots libres e ImprimiblesRobots libres e Imprimibles
Robots libres e Imprimibles
 

Último

redes informaticas en una oficina administrativa
redes informaticas en una oficina administrativaredes informaticas en una oficina administrativa
redes informaticas en una oficina administrativa
nicho110
 

Último (10)

investigación de los Avances tecnológicos del siglo XXI
investigación de los Avances tecnológicos del siglo XXIinvestigación de los Avances tecnológicos del siglo XXI
investigación de los Avances tecnológicos del siglo XXI
 
Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...
Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...
Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...
 
Guia Basica para bachillerato de Circuitos Basicos
Guia Basica para bachillerato de Circuitos BasicosGuia Basica para bachillerato de Circuitos Basicos
Guia Basica para bachillerato de Circuitos Basicos
 
Buenos_Aires_Meetup_Redis_20240430_.pptx
Buenos_Aires_Meetup_Redis_20240430_.pptxBuenos_Aires_Meetup_Redis_20240430_.pptx
Buenos_Aires_Meetup_Redis_20240430_.pptx
 
Avances tecnológicos del siglo XXI y ejemplos de estos
Avances tecnológicos del siglo XXI y ejemplos de estosAvances tecnológicos del siglo XXI y ejemplos de estos
Avances tecnológicos del siglo XXI y ejemplos de estos
 
redes informaticas en una oficina administrativa
redes informaticas en una oficina administrativaredes informaticas en una oficina administrativa
redes informaticas en una oficina administrativa
 
How to use Redis with MuleSoft. A quick start presentation.
How to use Redis with MuleSoft. A quick start presentation.How to use Redis with MuleSoft. A quick start presentation.
How to use Redis with MuleSoft. A quick start presentation.
 
EVOLUCION DE LA TECNOLOGIA Y SUS ASPECTOSpptx
EVOLUCION DE LA TECNOLOGIA Y SUS ASPECTOSpptxEVOLUCION DE LA TECNOLOGIA Y SUS ASPECTOSpptx
EVOLUCION DE LA TECNOLOGIA Y SUS ASPECTOSpptx
 
Avances tecnológicos del siglo XXI 10-07 eyvana
Avances tecnológicos del siglo XXI 10-07 eyvanaAvances tecnológicos del siglo XXI 10-07 eyvana
Avances tecnológicos del siglo XXI 10-07 eyvana
 
Innovaciones tecnologicas en el siglo 21
Innovaciones tecnologicas en el siglo 21Innovaciones tecnologicas en el siglo 21
Innovaciones tecnologicas en el siglo 21
 

2016 11-05-osh wdem16-fpgas-libres

  • 1. FPGAs libres Juan González Gómez (Obijuan) OSHWDem 2016 5 de Noviembre de 2016 A Coruña, Museo Domus https://github.com/Obijuan/myslides https://github.com/Obijuan
  • 3. Patrimonio Tecnológico de la humanidad Software Libre Hardware Libre Reprap
  • 4. Pasemos al siguiente nivel: Chips digitales
  • 5. Viaje al interior de los chips digitales ● Nivel de electrónica digital ● Información: Sólo 1s y 0s (Bits) ● Función: Manipular, almacenar y transportar bits
  • 6. Elementos en circuitos digitales Cualquier circuito digital, por muy complejo que sea, se descompone en estos 3 tipos de componentes elementales
  • 7. La electrónica digital es intuitiva y… ¡ Divertida ! APP: Circuit Scramble
  • 8. FPGAs: Implementando circuitos digitales FPGA: Chip “en blanco” que contiene una matriz con los 3 componentes básicos: puertas lógicas, biestables y cables
  • 9. Circuito 1 Circuito 2 Configuración
  • 10. ¡FPGAs = Impresoras 3D de circuitos digitales!
  • 11. El hardware es software ● El hardware libre es igual al software libre ● Muy fácil de compartir ● Telecopias del hardware ● Desarrollo de hardware en comunidad HDL
  • 13. FPGAs: Sólo personal autorizado
  • 14. FPGAs libres: El renacimiento ● Proyecto Icestorm (Mayo, 2015) ● La primera toolchain que permiten pasar de Verilog al bitstream usando sólo Herramientas libres
  • 15. FPGAs libres ● Familia Lattice iCE40 ● Sólo Lenguaje Verilog Denominamos FPGAs libres a aquellas FPGAs que disponen de una toolchain totalmente libre ● Definición: ● FPGAs libres actualmente: http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx
  • 16. Flujo de trabajo Diseño Ficheros HDL Bitstream Síntesis Configuración
  • 19. https://github.com/FPGAwars/icestudio ● Autor: Jesús Arroyo ● Electrónica digital para todos ● Sin conocimientos de verilog ● Herramienta visual ● Traduce a verilog
  • 21. Paso 1: Consigue una placa Con FPGA libre Icestick Go-board ● Conexión directa al PC (USB) ● Soportadas por Apio/Icestudio iCE40-HX8K Breakout Board
  • 22. icoboard ● Conexión a Raspberry PI ● Soportada por Apio/Icestudio NO Soportadas por Apio/Icestudio Mystorm iCE40HX1K-EVB
  • 23. Icezum Alhambra v1.1 ● Autor: Eladio Delgado ● Diseñada en Pinos del Valle (Granada) ● Arduino de las FPGAs ● Compatible Arduino ● Fácil conexión de circuitos externos/sensores/servos ● Reutilización de los shields de arduino ● 20 entradas/salidas de 5v ● 3A corriente de entrada ● Perfecta para hacer robots https://github.com/FPGAwars/icezum/wiki
  • 24. Icezum Alhambra v1.1 8 leds de pruebas Pulsadores de prueba Conector micro-usb Alimentación 6-17v 3A Interruptor On/off Pines io 3v3 Conexión directa de Sensores / actuadores 4 entradas analógicas i2c Pulsador de reset
  • 25. Rasty ● FPGA Fun! ● 8 Alhambra-leds ● Alimentación: power bank
  • 26. Sonidos simples con Zumbador ● Conexión a una placa con Zumbador ● Melodías básica ● ¡Fácil meter varios canales!
  • 27. Larby: Robot modular ● Servos conectados directamente a Icezum Alhambra ● Configuración mínima pitch-pitch ● Módulo impresos en 3D
  • 28. Icezum Alhambra peregrina ● A.k.a CalmaSAV ● Empieza: Rinconingenieril.es ● Ámbito: Toda España ● Coordinado desde la lista de FPGAwars
  • 29. Paso 3: Aprende Diseño digital y Verilog Tutorial: Diseño Digital para FPGAs, con herramientas libres Paso 2: Instálate Icestudio / Apio
  • 30. Paso 4: Apúntate a la comunidad FPGAwars ● Comunidad para compartir conocimiento relacionado con FPGAs libres ● Es el clonewars de las FPGAs, pero en modesto :-) ● Idioma: Castellano ● 194 miembros ● Cualquier pregunta / comentario / sugerencia → Correo a la lista :-) http://fpgawars.github.io/
  • 31. Paso 5: Haz tus propios proyectos con FPGAs libres Paso 6: ¡Comparte tu proyecto con la comunidad! :-)
  • 32. FPGAs en Patrimonio Tecnológico de la humanidad Software Libre Hardware Libre Reprap FPG As libres O tros
  • 33. FPGAs libres Juan González Gómez (Obijuan) OSHWDem 2016 5 de Noviembre de 2016 A Coruña, Museo Domus https://github.com/Obijuan/myslides https://github.com/Obijuan