SlideShare una empresa de Scribd logo
1 de 30
FPGAs libres
Juan González Gómez (Obijuan)
23 Septiembre 2016
ETSIIT, Universidad de Granada https://github.com/Obijuan/myslides
https://github.com/Obijuan
Circuitos digitales
El hardware es software
● El hardware libre es igual al software libre
● Muy fácil de compartir
● Telecopias del hardware
● Desarrollo de hardware en comunidad
HDL
FPGAs: Sólo personal autorizado
● Sólo el fabricante conoce los detalles internos
● Sólo se puede usar lo que el fabricante haya previsto
● Atados de por vida al fabricante
FPGAs libres: El renacimiento
● Proyecto Icestorm (Mayo, 2015)
● La primera toolchain que permiten pasar de Verilog al bitstream
usando sólo Herramientas libres
FPGAs libres
● Familia Lattice iCE40
● Sólo Lenguaje Verilog
Denominamos FPGAs libres a aquellas FPGAs que disponen de una
toolchain totalmente libre
● Definición:
● FPGAs libres actualmente:
http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx
Proyecto Icestorm
● Herramientas programadas en C/C++
● Bajar del repo y compilar
● Línea de comandos
● Se usan típicamente junto con make
● (bajo nivel)
http://www.clifford.at/icestorm/
https://github.com/cliffordwolf/icestorm
Proyecto Icestorm: Herramientas
Yosys (Síntesis)
Arachne (Emplazado y rutado)
icepack (Empaquetado final)
Ficheros Verilog (.v) Fichero restricciones (.pcf)
Bitstream (.bin)
iceprog (Carga en FPGA)
● Ficheros: cont8.v icezum.pcf
● Síntesis:
$ yosys -p "synth_ice40 -blif cont8.blif" cont8.v
● Emplazado y rutado:
$ arachne-pnr -d 1k -p icezum.pcf cont8.blif -o cont8.asc
● Empaquetado:
$ icepack cont8.asc cont8.bin
● Carga en FPGA:
$ iceprog cont8.bin
Proyecto Icestorm: Ejemplo
Demo
● Autor: Jesús Arroyo
● Multiplataforma (Linux, Mac, Windows, Raspberry)
● Línea de comandos
● Programado en python
● Multiplaca: icestick, icezum, icoboard, go-board
APIO
Icestorm
Comandos
Demohttps://github.com/FPGAwars/apio
APIO
https://github.com/FPGAwars/apio-ide
APIO
Icestorm
APIO-ide
● Plug-in para Atom
● No línea de comandos
● Llama a apio
● Apicable a otros
IDEs/editores
● Descripción en Verilog
APIO-ide
APIO ide
Demo
https://github.com/FPGAwars/icestudio
APIO
Icestorm
● Autor: Jesús Arroyo
● Electrónica digital para todos
● Sin conocimientos de verilog
● Herramienta visual
● Traduce a verilog
APIO-ide Icestudio
Icestudio: Demo
Tarjetas entrenadoras con FPGAs libres
Icestick
Go-board
● Conexión directa al PC (USB)
● Soportadas por Apio/Icestudio
iCE40-HX8K Breakout Board Icezum Alhambra
Tarjetas entrenadoras con FPGAs libres
icoboard
● Conexión a Raspberry PI
● Soportada por Apio/Icestudio
NO Soportadas por Apio/Icestudio
Mystorm iCE40HX1K-EVB
Icezum Alhambra v1.1
● Autor: Eladio Delgado
● Diseñada en Pinos del Valle
(Granada)
● Arduino de las FPGAs
● Compatible Arduino
● Fácil conexión de circuitos
externos/sensores/servos
● Reutilización de los shields de
arduino
● 20 entradas/salidas de 5v
● 3A corriente de entrada
● Perfecta para hacer robots
https://github.com/FPGAwars/icezum/wiki
Icezum Alhambra v1.1
8 leds de pruebas Pulsadores
de prueba
Conector
micro-usb
Alimentación
6-17v 3A
Interruptor
On/off
Pines io 3v3
Conexión directa de
Sensores / actuadores
4 entradas
analógicas i2c
Pulsador de
reset
Pinout: by Alberto Piganti
Icezum Alhambra v1.0K en Kicad
Icezum Alhambra v1.0K en Kicad
Icezum Alhambra v1.0K en Kicad
Demo
Comunidad FPGAwars
● Comunidad para compartir conocimiento
relacionado con FPGAs libres
● Es el clonewars de las FPGAs, pero en
modesto :-)
● Idioma: Castellano
● 150 miembros
● Cualquier pregunta / comentario /
sugerencia → Correo a la lista :-)
http://fpgawars.github.io/
Me molan las FPGAs libres…
¿Por dónde empiezo?
Paso 1: Consigue una placa con FPGA libre
Icestick
Go-board
iCE40-HX8K Breakout Board Icezum Alhambra
Me molan las FPGAs libres…
¿Por dónde empiezo?
Paso 3: Empieza a aprender verilog
Tutorial: Diseño Digital para FPGAs, con herramientas libres
Paso 2: Instálate Apio / Icestudio
Me molan las FPGAs libres…
¿Por dónde empiezo?
Paso 4: Apúntate al grupo de FPGAwars y haz preguntas
● Las FPGAs libres evolucionan muy rápido y
en seguida la información se queda
obsoleta
● En FPGAwars es donde se cuece lo último
de lo último
Paso 5: Haz tus propios proyectos con FPGAs libres
Paso 6: ¡Comparte tu proyecto con la comunidad! :-)
Proyecto ACC
Apollo CPU Core
Implementación de la CPU del Apollo en FPGAs libres
https://github.com/Obijuan/ACC/wiki
Procesador educativo Simplez para FPGAs libres
https://github.com/Obijuan/simplez-fpga/wiki/Procesador-SIMPLEZ-F
FPGAs en Patrimonio Tecnológico de la humanidad
Software
Libre
Hardware
Libre
Reprap
FPG
As
libres
O
tros
FPGAs libres
Juan González Gómez (Obijuan)
23 Septiembre 2016
ETSIIT, Universidad de Granada https://github.com/Obijuan/myslides
https://github.com/Obijuan

Más contenido relacionado

La actualidad más candente

Programación del ESP8266 con el IDE de Arduino
Programación del ESP8266 con el IDE de ArduinoProgramación del ESP8266 con el IDE de Arduino
Programación del ESP8266 con el IDE de ArduinoVíctor R. Ruiz
 
PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012obijuan_cube
 
Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...
Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...
Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...Buenaventura Salcedo Santos-Olmo
 
Taller Internet de las Cosas, por Ulises Gascón
Taller Internet de las Cosas, por Ulises GascónTaller Internet de las Cosas, por Ulises Gascón
Taller Internet de las Cosas, por Ulises GascónHuelva Inteligente
 
Introducción a Arduino (II)
Introducción a Arduino (II)Introducción a Arduino (II)
Introducción a Arduino (II)Víctor R. Ruiz
 
Introducción a Arduino - Parte I
Introducción a Arduino - Parte IIntroducción a Arduino - Parte I
Introducción a Arduino - Parte IVíctor R. Ruiz
 
Presentacion Arduino PowerPoint
Presentacion Arduino PowerPointPresentacion Arduino PowerPoint
Presentacion Arduino PowerPointcristianperea
 
Taller de introducción a Arduino OSL 2014
Taller de introducción a Arduino OSL 2014Taller de introducción a Arduino OSL 2014
Taller de introducción a Arduino OSL 2014Jose Antonio Vacas
 
Arduino iti-2016
Arduino iti-2016Arduino iti-2016
Arduino iti-2016jcucaita
 
T3ch fest leganes_final
T3ch fest leganes_finalT3ch fest leganes_final
T3ch fest leganes_finalRober Garamo
 
Open hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con ArduinoOpen hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con ArduinoAlberto Labarga
 
App inventor bluetooth Arduino
App inventor bluetooth ArduinoApp inventor bluetooth Arduino
App inventor bluetooth ArduinoJosé Pujol Pérez
 
Seminario de arduino DeustoTech
Seminario de arduino DeustoTechSeminario de arduino DeustoTech
Seminario de arduino DeustoTechAdolfo García
 

La actualidad más candente (20)

Programación del ESP8266 con el IDE de Arduino
Programación del ESP8266 con el IDE de ArduinoProgramación del ESP8266 con el IDE de Arduino
Programación del ESP8266 con el IDE de Arduino
 
PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012
 
Intro al beaglebone black makerspe
Intro al beaglebone black   makerspeIntro al beaglebone black   makerspe
Intro al beaglebone black makerspe
 
Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...
Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...
Navaja Negra 2018 - Análisis Forense en dispositivos Android en casos extremo...
 
Taller Internet de las Cosas, por Ulises Gascón
Taller Internet de las Cosas, por Ulises GascónTaller Internet de las Cosas, por Ulises Gascón
Taller Internet de las Cosas, por Ulises Gascón
 
Introducción a Arduino (II)
Introducción a Arduino (II)Introducción a Arduino (II)
Introducción a Arduino (II)
 
Introducción a Arduino - Parte I
Introducción a Arduino - Parte IIntroducción a Arduino - Parte I
Introducción a Arduino - Parte I
 
Arduino (terminado)
Arduino (terminado)Arduino (terminado)
Arduino (terminado)
 
Presentacion Arduino PowerPoint
Presentacion Arduino PowerPointPresentacion Arduino PowerPoint
Presentacion Arduino PowerPoint
 
Taller de introducción a Arduino OSL 2014
Taller de introducción a Arduino OSL 2014Taller de introducción a Arduino OSL 2014
Taller de introducción a Arduino OSL 2014
 
Arduino
ArduinoArduino
Arduino
 
Arduino iti-2016
Arduino iti-2016Arduino iti-2016
Arduino iti-2016
 
Cuadro
CuadroCuadro
Cuadro
 
Cuadro
CuadroCuadro
Cuadro
 
Cuadro
CuadroCuadro
Cuadro
 
20170223 buenostiempos fpga
20170223 buenostiempos fpga20170223 buenostiempos fpga
20170223 buenostiempos fpga
 
T3ch fest leganes_final
T3ch fest leganes_finalT3ch fest leganes_final
T3ch fest leganes_final
 
Open hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con ArduinoOpen hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con Arduino
 
App inventor bluetooth Arduino
App inventor bluetooth ArduinoApp inventor bluetooth Arduino
App inventor bluetooth Arduino
 
Seminario de arduino DeustoTech
Seminario de arduino DeustoTechSeminario de arduino DeustoTech
Seminario de arduino DeustoTech
 

Destacado

Heroku cloud platform
Heroku cloud platformHeroku cloud platform
Heroku cloud platformHasan Khatib
 
1 q 2016-us-tile-industry-update
1 q 2016-us-tile-industry-update1 q 2016-us-tile-industry-update
1 q 2016-us-tile-industry-updateCristiano Canotti
 
LEY DE COMPAÑÍAS
LEY DE COMPAÑÍASLEY DE COMPAÑÍAS
LEY DE COMPAÑÍASjeankrs9
 
боги древних славян
боги древних славянбоги древних славян
боги древних славянШкола№3
 
Joseph S Stump Resume
Joseph S Stump ResumeJoseph S Stump Resume
Joseph S Stump ResumeJoseph Stump
 
HDL - Towards A Harmonized Dataset Model for Open Data Portals
HDL - Towards A Harmonized Dataset Model for Open Data PortalsHDL - Towards A Harmonized Dataset Model for Open Data Portals
HDL - Towards A Harmonized Dataset Model for Open Data PortalsAhmad Assaf
 
Nascenia: Road to Software Industry
Nascenia: Road to Software IndustryNascenia: Road to Software Industry
Nascenia: Road to Software IndustryNascenia IT
 
HOTEL EXPO 2016
HOTEL EXPO 2016HOTEL EXPO 2016
HOTEL EXPO 2016HOTEL EXPO
 
Bachillerato de humanidades ok
Bachillerato de humanidades okBachillerato de humanidades ok
Bachillerato de humanidades okTECHNO_CISNEROS
 
Reunión de padres y tutores 2016. IES Joaquín Turina
Reunión de padres y tutores 2016. IES Joaquín TurinaReunión de padres y tutores 2016. IES Joaquín Turina
Reunión de padres y tutores 2016. IES Joaquín Turinajesusman
 
Decálogo antibulling
Decálogo antibullingDecálogo antibulling
Decálogo antibullingjesusman
 
Snyder_Susan-Resume 2016
Snyder_Susan-Resume 2016Snyder_Susan-Resume 2016
Snyder_Susan-Resume 2016Susan Snyder
 
Vagrant vs Docker
Vagrant vs DockerVagrant vs Docker
Vagrant vs Dockerjchase50
 
Formatos de manejo de almacén
Formatos de manejo de almacénFormatos de manejo de almacén
Formatos de manejo de almacénValeriaEH888
 

Destacado (20)

Heroku cloud platform
Heroku cloud platformHeroku cloud platform
Heroku cloud platform
 
1 q 2016-us-tile-industry-update
1 q 2016-us-tile-industry-update1 q 2016-us-tile-industry-update
1 q 2016-us-tile-industry-update
 
Timeplan
TimeplanTimeplan
Timeplan
 
LEY DE COMPAÑÍAS
LEY DE COMPAÑÍASLEY DE COMPAÑÍAS
LEY DE COMPAÑÍAS
 
Resume 1.4
Resume 1.4Resume 1.4
Resume 1.4
 
боги древних славян
боги древних славянбоги древних славян
боги древних славян
 
Joseph S Stump Resume
Joseph S Stump ResumeJoseph S Stump Resume
Joseph S Stump Resume
 
Dina_Condon_Resume_2016
Dina_Condon_Resume_2016Dina_Condon_Resume_2016
Dina_Condon_Resume_2016
 
HDL - Towards A Harmonized Dataset Model for Open Data Portals
HDL - Towards A Harmonized Dataset Model for Open Data PortalsHDL - Towards A Harmonized Dataset Model for Open Data Portals
HDL - Towards A Harmonized Dataset Model for Open Data Portals
 
2016/10/28: Reset ETSII UPM
2016/10/28: Reset ETSII UPM2016/10/28: Reset ETSII UPM
2016/10/28: Reset ETSII UPM
 
Nascenia: Road to Software Industry
Nascenia: Road to Software IndustryNascenia: Road to Software Industry
Nascenia: Road to Software Industry
 
HOTEL EXPO 2016
HOTEL EXPO 2016HOTEL EXPO 2016
HOTEL EXPO 2016
 
Useful C++ Features You Should be Using
Useful C++ Features You Should be UsingUseful C++ Features You Should be Using
Useful C++ Features You Should be Using
 
Bachillerato de humanidades ok
Bachillerato de humanidades okBachillerato de humanidades ok
Bachillerato de humanidades ok
 
Inspección atún en lata
Inspección atún en lataInspección atún en lata
Inspección atún en lata
 
Reunión de padres y tutores 2016. IES Joaquín Turina
Reunión de padres y tutores 2016. IES Joaquín TurinaReunión de padres y tutores 2016. IES Joaquín Turina
Reunión de padres y tutores 2016. IES Joaquín Turina
 
Decálogo antibulling
Decálogo antibullingDecálogo antibulling
Decálogo antibulling
 
Snyder_Susan-Resume 2016
Snyder_Susan-Resume 2016Snyder_Susan-Resume 2016
Snyder_Susan-Resume 2016
 
Vagrant vs Docker
Vagrant vs DockerVagrant vs Docker
Vagrant vs Docker
 
Formatos de manejo de almacén
Formatos de manejo de almacénFormatos de manejo de almacén
Formatos de manejo de almacén
 

Similar a FPGAs libres

2016 11-18-maker-faire-bilbao-fpgas-libres
2016 11-18-maker-faire-bilbao-fpgas-libres2016 11-18-maker-faire-bilbao-fpgas-libres
2016 11-18-maker-faire-bilbao-fpgas-libresobijuan_cube
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresobijuan_cube
 
Curso de introducción a arduino
Curso de introducción a arduinoCurso de introducción a arduino
Curso de introducción a arduino3D Print Barcelona
 
Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017obijuan_cube
 
Robotica Educativa CEP Granada 2015
Robotica Educativa CEP Granada 2015Robotica Educativa CEP Granada 2015
Robotica Educativa CEP Granada 2015Jose Antonio Vacas
 
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libresobijuan_cube
 
Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014Jose Antonio Vacas
 
Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014Jose Antonio Vacas
 
Arduino UNO vs Launchpad MSP430G2
Arduino UNO vs Launchpad MSP430G2Arduino UNO vs Launchpad MSP430G2
Arduino UNO vs Launchpad MSP430G2Volkan Rivera
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresobijuan_cube
 

Similar a FPGAs libres (20)

2016 11-18-maker-faire-bilbao-fpgas-libres
2016 11-18-maker-faire-bilbao-fpgas-libres2016 11-18-maker-faire-bilbao-fpgas-libres
2016 11-18-maker-faire-bilbao-fpgas-libres
 
Charla
CharlaCharla
Charla
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libres
 
Curso de introducción a arduino
Curso de introducción a arduinoCurso de introducción a arduino
Curso de introducción a arduino
 
Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017
 
Robotica Educativa CEP Granada 2015
Robotica Educativa CEP Granada 2015Robotica Educativa CEP Granada 2015
Robotica Educativa CEP Granada 2015
 
Diferentes tipos de arduino
Diferentes tipos de arduinoDiferentes tipos de arduino
Diferentes tipos de arduino
 
GUIA BASICA ARDUINO
GUIA BASICA ARDUINOGUIA BASICA ARDUINO
GUIA BASICA ARDUINO
 
Tarjeta arduino
Tarjeta arduinoTarjeta arduino
Tarjeta arduino
 
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
 
Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014
 
Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014
 
Arduino UNO vs Launchpad MSP430G2
Arduino UNO vs Launchpad MSP430G2Arduino UNO vs Launchpad MSP430G2
Arduino UNO vs Launchpad MSP430G2
 
Tarjeta arduino
Tarjeta arduinoTarjeta arduino
Tarjeta arduino
 
Introducción a Arduino
Introducción a ArduinoIntroducción a Arduino
Introducción a Arduino
 
Tipos de arduino y sus caracteristicas
Tipos de arduino y sus caracteristicasTipos de arduino y sus caracteristicas
Tipos de arduino y sus caracteristicas
 
Los Diferentes Arduinos y Sus Caracteristicas
Los Diferentes Arduinos y Sus CaracteristicasLos Diferentes Arduinos y Sus Caracteristicas
Los Diferentes Arduinos y Sus Caracteristicas
 
Introducción a la plataforma Arduino 1
Introducción a la plataforma Arduino 1Introducción a la plataforma Arduino 1
Introducción a la plataforma Arduino 1
 
Reporte de arduino
Reporte de arduinoReporte de arduino
Reporte de arduino
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libres
 

Más de obijuan_cube

Nosotros compartimos
Nosotros compartimosNosotros compartimos
Nosotros compartimosobijuan_cube
 
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libresobijuan_cube
 
Let's build modular robots!
Let's build modular robots!Let's build modular robots!
Let's build modular robots!obijuan_cube
 
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evoluciónIMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evoluciónobijuan_cube
 
PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012obijuan_cube
 
Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012obijuan_cube
 
PrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimiblesPrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimiblesobijuan_cube
 
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...obijuan_cube
 
Latinoware: Robots libres e Imprimibles
Latinoware: Robots libres e ImprimiblesLatinoware: Robots libres e Imprimibles
Latinoware: Robots libres e Imprimiblesobijuan_cube
 
Robots libres e Imprimibles
Robots libres e ImprimiblesRobots libres e Imprimibles
Robots libres e Imprimiblesobijuan_cube
 

Más de obijuan_cube (10)

Nosotros compartimos
Nosotros compartimosNosotros compartimos
Nosotros compartimos
 
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
 
Let's build modular robots!
Let's build modular robots!Let's build modular robots!
Let's build modular robots!
 
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evoluciónIMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
 
PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012
 
Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012
 
PrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimiblesPrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimibles
 
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
 
Latinoware: Robots libres e Imprimibles
Latinoware: Robots libres e ImprimiblesLatinoware: Robots libres e Imprimibles
Latinoware: Robots libres e Imprimibles
 
Robots libres e Imprimibles
Robots libres e ImprimiblesRobots libres e Imprimibles
Robots libres e Imprimibles
 

Último

ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...FacuMeza2
 
Mapa-conceptual-del-Origen-del-Universo-3.pptx
Mapa-conceptual-del-Origen-del-Universo-3.pptxMapa-conceptual-del-Origen-del-Universo-3.pptx
Mapa-conceptual-del-Origen-del-Universo-3.pptxMidwarHenryLOZAFLORE
 
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdfPARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdfSergioMendoza354770
 
R1600G CAT Variables de cargadores en mina
R1600G CAT Variables de cargadores en minaR1600G CAT Variables de cargadores en mina
R1600G CAT Variables de cargadores en minaarkananubis
 
El uso delas tic en la vida cotidiana MFEL
El uso delas tic en la vida cotidiana MFELEl uso delas tic en la vida cotidiana MFEL
El uso delas tic en la vida cotidiana MFELmaryfer27m
 
Medidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptx
Medidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptxMedidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptx
Medidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptxaylincamaho
 
tics en la vida cotidiana prepa en linea modulo 1.pptx
tics en la vida cotidiana prepa en linea modulo 1.pptxtics en la vida cotidiana prepa en linea modulo 1.pptx
tics en la vida cotidiana prepa en linea modulo 1.pptxazmysanros90
 
Presentación inteligencia artificial en la actualidad
Presentación inteligencia artificial en la actualidadPresentación inteligencia artificial en la actualidad
Presentación inteligencia artificial en la actualidadMiguelAngelVillanuev48
 
Crear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptx
Crear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptxCrear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptx
Crear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptxNombre Apellidos
 
trabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdftrabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdfIsabellaMontaomurill
 
Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024GiovanniJavierHidalg
 
La era de la educación digital y sus desafios
La era de la educación digital y sus desafiosLa era de la educación digital y sus desafios
La era de la educación digital y sus desafiosFundación YOD YOD
 
GonzalezGonzalez_Karina_M1S3AI6... .pptx
GonzalezGonzalez_Karina_M1S3AI6... .pptxGonzalezGonzalez_Karina_M1S3AI6... .pptx
GonzalezGonzalez_Karina_M1S3AI6... .pptx241523733
 
Arenas Camacho-Practica tarea Sesión 12.pptx
Arenas Camacho-Practica tarea Sesión 12.pptxArenas Camacho-Practica tarea Sesión 12.pptx
Arenas Camacho-Practica tarea Sesión 12.pptxJOSEFERNANDOARENASCA
 
El uso de las TIC's en la vida cotidiana.
El uso de las TIC's en la vida cotidiana.El uso de las TIC's en la vida cotidiana.
El uso de las TIC's en la vida cotidiana.241514949
 
FloresMorales_Montserrath_M1S3AI6 (1).pptx
FloresMorales_Montserrath_M1S3AI6 (1).pptxFloresMorales_Montserrath_M1S3AI6 (1).pptx
FloresMorales_Montserrath_M1S3AI6 (1).pptx241522327
 
dokumen.tips_36274588-sistema-heui-eui.ppt
dokumen.tips_36274588-sistema-heui-eui.pptdokumen.tips_36274588-sistema-heui-eui.ppt
dokumen.tips_36274588-sistema-heui-eui.pptMiguelAtencio10
 
Actividad integradora 6 CREAR UN RECURSO MULTIMEDIA
Actividad integradora 6    CREAR UN RECURSO MULTIMEDIAActividad integradora 6    CREAR UN RECURSO MULTIMEDIA
Actividad integradora 6 CREAR UN RECURSO MULTIMEDIA241531640
 
El uso de las tic en la vida ,lo importante que son
El uso de las tic en la vida ,lo importante  que sonEl uso de las tic en la vida ,lo importante  que son
El uso de las tic en la vida ,lo importante que son241514984
 
definicion segun autores de matemáticas educativa
definicion segun autores de matemáticas  educativadefinicion segun autores de matemáticas  educativa
definicion segun autores de matemáticas educativaAdrianaMartnez618894
 

Último (20)

ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
 
Mapa-conceptual-del-Origen-del-Universo-3.pptx
Mapa-conceptual-del-Origen-del-Universo-3.pptxMapa-conceptual-del-Origen-del-Universo-3.pptx
Mapa-conceptual-del-Origen-del-Universo-3.pptx
 
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdfPARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
 
R1600G CAT Variables de cargadores en mina
R1600G CAT Variables de cargadores en minaR1600G CAT Variables de cargadores en mina
R1600G CAT Variables de cargadores en mina
 
El uso delas tic en la vida cotidiana MFEL
El uso delas tic en la vida cotidiana MFELEl uso delas tic en la vida cotidiana MFEL
El uso delas tic en la vida cotidiana MFEL
 
Medidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptx
Medidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptxMedidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptx
Medidas de formas, coeficiente de asimetría y coeficiente de curtosis.pptx
 
tics en la vida cotidiana prepa en linea modulo 1.pptx
tics en la vida cotidiana prepa en linea modulo 1.pptxtics en la vida cotidiana prepa en linea modulo 1.pptx
tics en la vida cotidiana prepa en linea modulo 1.pptx
 
Presentación inteligencia artificial en la actualidad
Presentación inteligencia artificial en la actualidadPresentación inteligencia artificial en la actualidad
Presentación inteligencia artificial en la actualidad
 
Crear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptx
Crear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptxCrear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptx
Crear un recurso multimedia. Maricela_Ponce_DomingoM1S3AI6-1.pptx
 
trabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdftrabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdf
 
Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024
 
La era de la educación digital y sus desafios
La era de la educación digital y sus desafiosLa era de la educación digital y sus desafios
La era de la educación digital y sus desafios
 
GonzalezGonzalez_Karina_M1S3AI6... .pptx
GonzalezGonzalez_Karina_M1S3AI6... .pptxGonzalezGonzalez_Karina_M1S3AI6... .pptx
GonzalezGonzalez_Karina_M1S3AI6... .pptx
 
Arenas Camacho-Practica tarea Sesión 12.pptx
Arenas Camacho-Practica tarea Sesión 12.pptxArenas Camacho-Practica tarea Sesión 12.pptx
Arenas Camacho-Practica tarea Sesión 12.pptx
 
El uso de las TIC's en la vida cotidiana.
El uso de las TIC's en la vida cotidiana.El uso de las TIC's en la vida cotidiana.
El uso de las TIC's en la vida cotidiana.
 
FloresMorales_Montserrath_M1S3AI6 (1).pptx
FloresMorales_Montserrath_M1S3AI6 (1).pptxFloresMorales_Montserrath_M1S3AI6 (1).pptx
FloresMorales_Montserrath_M1S3AI6 (1).pptx
 
dokumen.tips_36274588-sistema-heui-eui.ppt
dokumen.tips_36274588-sistema-heui-eui.pptdokumen.tips_36274588-sistema-heui-eui.ppt
dokumen.tips_36274588-sistema-heui-eui.ppt
 
Actividad integradora 6 CREAR UN RECURSO MULTIMEDIA
Actividad integradora 6    CREAR UN RECURSO MULTIMEDIAActividad integradora 6    CREAR UN RECURSO MULTIMEDIA
Actividad integradora 6 CREAR UN RECURSO MULTIMEDIA
 
El uso de las tic en la vida ,lo importante que son
El uso de las tic en la vida ,lo importante  que sonEl uso de las tic en la vida ,lo importante  que son
El uso de las tic en la vida ,lo importante que son
 
definicion segun autores de matemáticas educativa
definicion segun autores de matemáticas  educativadefinicion segun autores de matemáticas  educativa
definicion segun autores de matemáticas educativa
 

FPGAs libres

  • 1. FPGAs libres Juan González Gómez (Obijuan) 23 Septiembre 2016 ETSIIT, Universidad de Granada https://github.com/Obijuan/myslides https://github.com/Obijuan
  • 3. El hardware es software ● El hardware libre es igual al software libre ● Muy fácil de compartir ● Telecopias del hardware ● Desarrollo de hardware en comunidad HDL
  • 4. FPGAs: Sólo personal autorizado ● Sólo el fabricante conoce los detalles internos ● Sólo se puede usar lo que el fabricante haya previsto ● Atados de por vida al fabricante
  • 5. FPGAs libres: El renacimiento ● Proyecto Icestorm (Mayo, 2015) ● La primera toolchain que permiten pasar de Verilog al bitstream usando sólo Herramientas libres
  • 6. FPGAs libres ● Familia Lattice iCE40 ● Sólo Lenguaje Verilog Denominamos FPGAs libres a aquellas FPGAs que disponen de una toolchain totalmente libre ● Definición: ● FPGAs libres actualmente: http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx
  • 7. Proyecto Icestorm ● Herramientas programadas en C/C++ ● Bajar del repo y compilar ● Línea de comandos ● Se usan típicamente junto con make ● (bajo nivel) http://www.clifford.at/icestorm/ https://github.com/cliffordwolf/icestorm
  • 8. Proyecto Icestorm: Herramientas Yosys (Síntesis) Arachne (Emplazado y rutado) icepack (Empaquetado final) Ficheros Verilog (.v) Fichero restricciones (.pcf) Bitstream (.bin) iceprog (Carga en FPGA)
  • 9. ● Ficheros: cont8.v icezum.pcf ● Síntesis: $ yosys -p "synth_ice40 -blif cont8.blif" cont8.v ● Emplazado y rutado: $ arachne-pnr -d 1k -p icezum.pcf cont8.blif -o cont8.asc ● Empaquetado: $ icepack cont8.asc cont8.bin ● Carga en FPGA: $ iceprog cont8.bin Proyecto Icestorm: Ejemplo Demo
  • 10. ● Autor: Jesús Arroyo ● Multiplataforma (Linux, Mac, Windows, Raspberry) ● Línea de comandos ● Programado en python ● Multiplaca: icestick, icezum, icoboard, go-board APIO Icestorm Comandos Demohttps://github.com/FPGAwars/apio APIO
  • 11. https://github.com/FPGAwars/apio-ide APIO Icestorm APIO-ide ● Plug-in para Atom ● No línea de comandos ● Llama a apio ● Apicable a otros IDEs/editores ● Descripción en Verilog APIO-ide
  • 13. https://github.com/FPGAwars/icestudio APIO Icestorm ● Autor: Jesús Arroyo ● Electrónica digital para todos ● Sin conocimientos de verilog ● Herramienta visual ● Traduce a verilog APIO-ide Icestudio
  • 15. Tarjetas entrenadoras con FPGAs libres Icestick Go-board ● Conexión directa al PC (USB) ● Soportadas por Apio/Icestudio iCE40-HX8K Breakout Board Icezum Alhambra
  • 16. Tarjetas entrenadoras con FPGAs libres icoboard ● Conexión a Raspberry PI ● Soportada por Apio/Icestudio NO Soportadas por Apio/Icestudio Mystorm iCE40HX1K-EVB
  • 17. Icezum Alhambra v1.1 ● Autor: Eladio Delgado ● Diseñada en Pinos del Valle (Granada) ● Arduino de las FPGAs ● Compatible Arduino ● Fácil conexión de circuitos externos/sensores/servos ● Reutilización de los shields de arduino ● 20 entradas/salidas de 5v ● 3A corriente de entrada ● Perfecta para hacer robots https://github.com/FPGAwars/icezum/wiki
  • 18. Icezum Alhambra v1.1 8 leds de pruebas Pulsadores de prueba Conector micro-usb Alimentación 6-17v 3A Interruptor On/off Pines io 3v3 Conexión directa de Sensores / actuadores 4 entradas analógicas i2c Pulsador de reset
  • 22. Icezum Alhambra v1.0K en Kicad Demo
  • 23. Comunidad FPGAwars ● Comunidad para compartir conocimiento relacionado con FPGAs libres ● Es el clonewars de las FPGAs, pero en modesto :-) ● Idioma: Castellano ● 150 miembros ● Cualquier pregunta / comentario / sugerencia → Correo a la lista :-) http://fpgawars.github.io/
  • 24. Me molan las FPGAs libres… ¿Por dónde empiezo? Paso 1: Consigue una placa con FPGA libre Icestick Go-board iCE40-HX8K Breakout Board Icezum Alhambra
  • 25. Me molan las FPGAs libres… ¿Por dónde empiezo? Paso 3: Empieza a aprender verilog Tutorial: Diseño Digital para FPGAs, con herramientas libres Paso 2: Instálate Apio / Icestudio
  • 26. Me molan las FPGAs libres… ¿Por dónde empiezo? Paso 4: Apúntate al grupo de FPGAwars y haz preguntas ● Las FPGAs libres evolucionan muy rápido y en seguida la información se queda obsoleta ● En FPGAwars es donde se cuece lo último de lo último Paso 5: Haz tus propios proyectos con FPGAs libres Paso 6: ¡Comparte tu proyecto con la comunidad! :-)
  • 27. Proyecto ACC Apollo CPU Core Implementación de la CPU del Apollo en FPGAs libres https://github.com/Obijuan/ACC/wiki
  • 28. Procesador educativo Simplez para FPGAs libres https://github.com/Obijuan/simplez-fpga/wiki/Procesador-SIMPLEZ-F
  • 29. FPGAs en Patrimonio Tecnológico de la humanidad Software Libre Hardware Libre Reprap FPG As libres O tros
  • 30. FPGAs libres Juan González Gómez (Obijuan) 23 Septiembre 2016 ETSIIT, Universidad de Granada https://github.com/Obijuan/myslides https://github.com/Obijuan