SlideShare una empresa de Scribd logo
1 de 29
VHDL: Lenguaje de Descripción Hardware
        Componentes Básicos del VHDL
                               Sumario




                      Circuitos Digitales II
         Introducción al Diseño Digital usando VHDL




           Fernando Aparicio Urbano Molano, Msc.
                                                         1


                        1
                            Departamento de Telemática
                              Universidad del Cauca

                                 Marzo 2012




                Fernando A. Urbano M.     VHDL
VHDL: Lenguaje de Descripción Hardware
                 Componentes Básicos del VHDL
                                        Sumario




Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
VHSIC
         VHDL: Lenguaje de Descripción Hardware
                                                  VHDL
                 Componentes Básicos del VHDL
                                                  Generalidades
                                        Sumario
                                                  Comentarios




Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
VHSIC
    VHDL: Lenguaje de Descripción Hardware
                                             VHDL
            Componentes Básicos del VHDL
                                             Generalidades
                                   Sumario
                                             Comentarios




VHSIC




    1981: DoD primeros lenguajes de descripción hardware bajo el
    programa VHSIC.

    Very High Speed Integrated Circuits.

    En 1983 tres compañías adquieren el contrato con el DoD para
    desarrollar una versión del lenguaje.




                    Fernando A. Urbano M.    VHDL
VHSIC
         VHDL: Lenguaje de Descripción Hardware
                                                  VHDL
                 Componentes Básicos del VHDL
                                                  Generalidades
                                        Sumario
                                                  Comentarios




Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
VHSIC
   VHDL: Lenguaje de Descripción Hardware
                                            VHDL
           Componentes Básicos del VHDL
                                            Generalidades
                                  Sumario
                                            Comentarios




VHDL



       VHSIC Hardware Description Language

       Fue estandarizado por la IEEE en Diciembre de 1987.

       Actualizado y corregido en 1993 (IEEE Std 1076-1993).

       Permite la descripción en alto nivel del comportamiento del
       hardware, ya sea a nivel de comportamiento (behavior) o de
       registros (RTL).

       Especialmente útil en diseños muy complejos
       (criptoprocesadores, funciones DSP, procesadores de ADN,
       etc.)




                   Fernando A. Urbano M.    VHDL
VHSIC
         VHDL: Lenguaje de Descripción Hardware
                                                  VHDL
                 Componentes Básicos del VHDL
                                                  Generalidades
                                        Sumario
                                                  Comentarios




Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
VHSIC
     VHDL: Lenguaje de Descripción Hardware
                                                 VHDL
             Componentes Básicos del VHDL
                                                 Generalidades
                                    Sumario
                                                 Comentarios




Generalidades del VHDL




     Los objetos deben ser denidos (nombre y tipo) antes de ser
     usados, y las operaciones deben respetar estrictamente el tipo
     de operandos y resultados (              strongly typed).
     No diferencia entre mayúsculas y minúsculas, a excepción de
     los llamados extended identiers (              Case Insensitive).
     Dene alrededor de 97 palabras                reservadas (keywords).




                     Fernando A. Urbano M.       VHDL
VHSIC
         VHDL: Lenguaje de Descripción Hardware
                                                  VHDL
                 Componentes Básicos del VHDL
                                                  Generalidades
                                        Sumario
                                                  Comentarios




Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
VHSIC
       VHDL: Lenguaje de Descripción Hardware
                                                VHDL
               Componentes Básicos del VHDL
                                                Generalidades
                                      Sumario
                                                Comentarios




Comentarios



       Permite comentarios que comienzan con   y terminan al
       nal de la línea:       Esto es un comentario.          Ejemplos:

   A= `1' Esto es un comentario de una línea completa. No hace
  nada.
  A = `1';  Esto es una asignación de la señal a con un comentario
  al nal.
   Esto es un comentario que me dará error porque
  pasa a la otra línea y el analizador de VHDL no entiende la segunda
  línea.




                       Fernando A. Urbano M.    VHDL
Componentes Básicos
                                                  Entity (Entidad)
         VHDL: Lenguaje de Descripción Hardware   La Arquitectura
                 Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                        Sumario   Architecture: Comportamental
                                                  Architecture: Estructural
                                                  Architecture: Modelo Mixto


Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Componentes Básicos


  Una abstracción hardware de un sistema digital se llama entity
  (entidad). Una entidad X, cuando se usa en otra entidad Y, se
  convierte en un component (componente) para la entidad Y.
  Para describir una entidad, VHDL provee cinco diferentes tipos de
  constructores primarios, llamados unidades de diseño:

      Entity declaration (Entidad).
      Architecture body (Arquitectura).
      Conguración.

      Package declaration (Declaración del Paquete).
      Package body (Cuerpo del Paquete).

                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                                  Entity (Entidad)
         VHDL: Lenguaje de Descripción Hardware   La Arquitectura
                 Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                        Sumario   Architecture: Comportamental
                                                  Architecture: Estructural
                                                  Architecture: Modelo Mixto


Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Entity (Entidad)
      Dene un nuevo componente, sus interconexiones de E/S y las
      declaraciones relacionadas.
      Describe el interfaz con el mundo exterior y las características
      comunes a todas las arquitecturas.
      Sintaxis:




      Una entidad especica las conexiones externas de un
      componente.

                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                                  Entity (Entidad)
         VHDL: Lenguaje de Descripción Hardware   La Arquitectura
                 Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                        Sumario   Architecture: Comportamental
                                                  Architecture: Estructural
                                                  Architecture: Modelo Mixto


Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Architecture (Arquitectura)

      Describe el funcionamiento del dispositivo.

      Especica el comportamiento del componente, sus
      interconexiones y componentes internos. Tiene que compilarse
      para hacerlo funcional. La arquitectura puede ser modelada
      con diferentes niveles de abstracción.

      Los detalles internos de una entidad se especican por el
      cuerpo de una arquitectura usando cualquiera de los siguientes
      modelos: ujo de datos (          dataow),     comportamental y
      estructural.




                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                                  Entity (Entidad)
         VHDL: Lenguaje de Descripción Hardware   La Arquitectura
                 Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                        Sumario   Architecture: Comportamental
                                                  Architecture: Estructural
                                                  Architecture: Modelo Mixto


Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Flujo de Datos


  El ujo de datos a través de la entidad se expresa principalmente
  usando declaraciones de asignación de señales concurrentes. La
  estructura de la entidad no está explícitamente especicada en éste
  modelo, pero puede deducirse implícitamente.




                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                                  Entity (Entidad)
         VHDL: Lenguaje de Descripción Hardware   La Arquitectura
                 Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                        Sumario   Architecture: Comportamental
                                                  Architecture: Estructural
                                                  Architecture: Modelo Mixto


Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Comportamental



  En los modelos de comportamiento el funcionamiento del
  componente se modela a través del comportamiento de las señales
  a través de compuertas y registros internos. Este modelo de
  funcionamiento puede ser en la forma de un algoritmo general, al
  nivel de transferencia de registros (register transfer level o RTL),
  ujo de datos o una mezcla de ellos. El elemento de construcción
  básico en el modelo de comportamiento en VHDL es el proceso
  (process ).




                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                              Entity (Entidad)
     VHDL: Lenguaje de Descripción Hardware   La Arquitectura
             Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                    Sumario   Architecture: Comportamental
                                              Architecture: Estructural
                                              Architecture: Modelo Mixto


Comportamental




                     Fernando A. Urbano M.    VHDL
Componentes Básicos
                                                  Entity (Entidad)
         VHDL: Lenguaje de Descripción Hardware   La Arquitectura
                 Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                        Sumario   Architecture: Comportamental
                                                  Architecture: Estructural
                                                  Architecture: Modelo Mixto


Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Estructural




  Como al armar una plaqueta con diferentes componentes e
  interconectarlos, VHDL permite armar modelos estructurales y así
  dar una jerarquía al diseño. En los modelos estructurales se
  interconectan diferentes componentes utilizando un mapeo de
  componentes básicos mediante señales que actúan como cables de
  interconexión. Las interconexiones se hacen             mapeando          los puertos
  denidos en las declaraciones de las entidades de los componentes
  básicos.




                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Estructural




  La entidad y arquitectura de XOR2 y AND2 debe existir.

                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                                  Entity (Entidad)
         VHDL: Lenguaje de Descripción Hardware   La Arquitectura
                 Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                        Sumario   Architecture: Comportamental
                                                  Architecture: Estructural
                                                  Architecture: Modelo Mixto


Índice

  1   VHDL: Lenguaje de Descripción Hardware
          VHSIC
          VHDL
          Generalidades del VHDL
          Comentarios

  2   Componentes Básicos del VHDL
          Componentes Básicos
          Entity (Entidad)
          La Arquitectura
          Architecture: Flujo de Datos
          Architecture: Comportamental
          Architecture: Estructural
          Architecture: Modelo Mixto

                         Fernando A. Urbano M.    VHDL
Componentes Básicos
                                               Entity (Entidad)
      VHDL: Lenguaje de Descripción Hardware   La Arquitectura
              Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                     Sumario   Architecture: Comportamental
                                               Architecture: Estructural
                                               Architecture: Modelo Mixto


Modelo Mixto




  Es posible mezclar los tres estilos que se han visto, en un solo
  cuerpo de la arquitectura. Esto es, dentro de un architecture body,
  se pueden usar declaraciones de componentes (estructural),
  declaraciones de asignación de señales concurrentes (ujo de datos)
  y declaraciones de procesos (comportamiento).




                      Fernando A. Urbano M.    VHDL
Componentes Básicos
                                              Entity (Entidad)
     VHDL: Lenguaje de Descripción Hardware   La Arquitectura
             Componentes Básicos del VHDL     Architecture: Flujo de Datos
                                    Sumario   Architecture: Comportamental
                                              Architecture: Estructural
                                              Architecture: Modelo Mixto


Modelo Mixto




                     Fernando A. Urbano M.    VHDL
Apéndice




Sumario




     Introducción al VHDL

     Componentes básicos

     Arquitecturas




                Fernando A. Urbano M.   VHDL
Apéndice    Lecturas complementarias




Lecturas complementarias I




              Fernando A. Urbano M.   VHDL

Más contenido relacionado

La actualidad más candente

FORMAS NORMALES DE GREIBACH
FORMAS NORMALES DE GREIBACHFORMAS NORMALES DE GREIBACH
FORMAS NORMALES DE GREIBACHCarlos Manuel
 
Lenguajes de Programacion
Lenguajes de ProgramacionLenguajes de Programacion
Lenguajes de ProgramacionVelmuz Buzz
 
Actividad 2 Analizador léxico, sintáctico y semántico
Actividad 2 Analizador léxico, sintáctico y semántico Actividad 2 Analizador léxico, sintáctico y semántico
Actividad 2 Analizador léxico, sintáctico y semántico maryr_
 
10 Instrucciones de Transferencia
10  Instrucciones  de Transferencia10  Instrucciones  de Transferencia
10 Instrucciones de TransferenciaJaime E. Velarde
 
Librerias Básicas y sus Funciones Lenguaje de Programación C
Librerias Básicas y sus Funciones Lenguaje de Programación CLibrerias Básicas y sus Funciones Lenguaje de Programación C
Librerias Básicas y sus Funciones Lenguaje de Programación CCristian Maza
 
Análisis Semántico con Cup
Análisis Semántico con CupAnálisis Semántico con Cup
Análisis Semántico con CupLAUNASA NOVENO B
 
Arquitectura del microcontrolador
Arquitectura del microcontroladorArquitectura del microcontrolador
Arquitectura del microcontroladorJose Chacho
 
Estilos de programación y sus lenguajes
Estilos de programación y sus lenguajesEstilos de programación y sus lenguajes
Estilos de programación y sus lenguajesPedro Contreras Flores
 
Funciones del lenguaje ensamblador
Funciones del lenguaje ensambladorFunciones del lenguaje ensamblador
Funciones del lenguaje ensambladorAna Velazquez
 

La actualidad más candente (20)

FORMAS NORMALES DE GREIBACH
FORMAS NORMALES DE GREIBACHFORMAS NORMALES DE GREIBACH
FORMAS NORMALES DE GREIBACH
 
Lenguajes de Programacion
Lenguajes de ProgramacionLenguajes de Programacion
Lenguajes de Programacion
 
LENGUAJE ENSAMBLADOR
LENGUAJE ENSAMBLADOR LENGUAJE ENSAMBLADOR
LENGUAJE ENSAMBLADOR
 
Actividad 2 Analizador léxico, sintáctico y semántico
Actividad 2 Analizador léxico, sintáctico y semántico Actividad 2 Analizador léxico, sintáctico y semántico
Actividad 2 Analizador léxico, sintáctico y semántico
 
Unidad 1
Unidad 1Unidad 1
Unidad 1
 
Unidad1 2 Lenguajes y automatas
Unidad1 2  Lenguajes y automatasUnidad1 2  Lenguajes y automatas
Unidad1 2 Lenguajes y automatas
 
Exposición de Lenguajes de Programación
Exposición de Lenguajes de ProgramaciónExposición de Lenguajes de Programación
Exposición de Lenguajes de Programación
 
10 Instrucciones de Transferencia
10  Instrucciones  de Transferencia10  Instrucciones  de Transferencia
10 Instrucciones de Transferencia
 
1.4 ensambladores y compiladores
1.4 ensambladores y compiladores1.4 ensambladores y compiladores
1.4 ensambladores y compiladores
 
vhdl
vhdlvhdl
vhdl
 
Librerias Básicas y sus Funciones Lenguaje de Programación C
Librerias Básicas y sus Funciones Lenguaje de Programación CLibrerias Básicas y sus Funciones Lenguaje de Programación C
Librerias Básicas y sus Funciones Lenguaje de Programación C
 
Compiladores, Analisis Lexico
Compiladores, Analisis LexicoCompiladores, Analisis Lexico
Compiladores, Analisis Lexico
 
Traductor y su estructura
Traductor y su estructuraTraductor y su estructura
Traductor y su estructura
 
Análisis Semántico con Cup
Análisis Semántico con CupAnálisis Semántico con Cup
Análisis Semántico con Cup
 
PROCESAMIENTO EN PANTALLA Y TECLADO BASICO
PROCESAMIENTO EN PANTALLA Y TECLADO BASICOPROCESAMIENTO EN PANTALLA Y TECLADO BASICO
PROCESAMIENTO EN PANTALLA Y TECLADO BASICO
 
Arquitectura del microcontrolador
Arquitectura del microcontroladorArquitectura del microcontrolador
Arquitectura del microcontrolador
 
Estilos de programación y sus lenguajes
Estilos de programación y sus lenguajesEstilos de programación y sus lenguajes
Estilos de programación y sus lenguajes
 
Funciones del lenguaje ensamblador
Funciones del lenguaje ensambladorFunciones del lenguaje ensamblador
Funciones del lenguaje ensamblador
 
Compiladores, Analisis Lexico, Ejemplo Minilenguaje
Compiladores, Analisis Lexico, Ejemplo MinilenguajeCompiladores, Analisis Lexico, Ejemplo Minilenguaje
Compiladores, Analisis Lexico, Ejemplo Minilenguaje
 
Lenguaje ensamblador
Lenguaje ensambladorLenguaje ensamblador
Lenguaje ensamblador
 

Destacado (6)

Introducción al PIC16 f887
Introducción al PIC16 f887Introducción al PIC16 f887
Introducción al PIC16 f887
 
Conexiones del PIC16F887
Conexiones del PIC16F887Conexiones del PIC16F887
Conexiones del PIC16F887
 
Modulo Timer 0 del PIC16F887
Modulo Timer 0 del PIC16F887Modulo Timer 0 del PIC16F887
Modulo Timer 0 del PIC16F887
 
Clase historia
Clase historiaClase historia
Clase historia
 
Arquitectura Microcontrolador PIC16F887
Arquitectura Microcontrolador PIC16F887Arquitectura Microcontrolador PIC16F887
Arquitectura Microcontrolador PIC16F887
 
Clase instrucciones PIC16F877A
Clase instrucciones PIC16F877AClase instrucciones PIC16F877A
Clase instrucciones PIC16F877A
 

Más de Fernando Aparicio Urbano Molano

Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de DecisiónLenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de DecisiónFernando Aparicio Urbano Molano
 
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-FlopsMultivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-FlopsFernando Aparicio Urbano Molano
 

Más de Fernando Aparicio Urbano Molano (20)

Ciclos de Control en Shell
Ciclos de Control en ShellCiclos de Control en Shell
Ciclos de Control en Shell
 
Introducción al Shell Linux
Introducción al Shell LinuxIntroducción al Shell Linux
Introducción al Shell Linux
 
Introducción al Linux Embebido
Introducción al Linux EmbebidoIntroducción al Linux Embebido
Introducción al Linux Embebido
 
Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de DecisiónLenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
 
Introducción al Lenguaje C para microcontroladores PIC
Introducción al Lenguaje C para microcontroladores PICIntroducción al Lenguaje C para microcontroladores PIC
Introducción al Lenguaje C para microcontroladores PIC
 
Node.JS para Intel Galileo
Node.JS para Intel GalileoNode.JS para Intel Galileo
Node.JS para Intel Galileo
 
Linux Yocto y GPIO
Linux Yocto y GPIOLinux Yocto y GPIO
Linux Yocto y GPIO
 
Arquitectura Computacional - Intel Galileo
Arquitectura Computacional - Intel GalileoArquitectura Computacional - Intel Galileo
Arquitectura Computacional - Intel Galileo
 
Módulo EUSART del PIC16F887
Módulo EUSART del PIC16F887Módulo EUSART del PIC16F887
Módulo EUSART del PIC16F887
 
Módulo ADC del PIC16F887
Módulo ADC del PIC16F887Módulo ADC del PIC16F887
Módulo ADC del PIC16F887
 
Módulo Timer 1 del PIC16F887
Módulo Timer 1 del PIC16F887Módulo Timer 1 del PIC16F887
Módulo Timer 1 del PIC16F887
 
Máquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDLMáquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDL
 
Memorias
MemoriasMemorias
Memorias
 
Estados Indiferentes - Diagramas de Karnaugh
Estados Indiferentes - Diagramas de KarnaughEstados Indiferentes - Diagramas de Karnaugh
Estados Indiferentes - Diagramas de Karnaugh
 
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-FlopsMultivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
 
Flip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los LatchFlip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los Latch
 
Máquinas de Estado
Máquinas de EstadoMáquinas de Estado
Máquinas de Estado
 
Clase Latch y FFs
Clase Latch y FFsClase Latch y FFs
Clase Latch y FFs
 
Latch y Flip-Flops
Latch y Flip-FlopsLatch y Flip-Flops
Latch y Flip-Flops
 
Clase USART
Clase USARTClase USART
Clase USART
 

Último

Estrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdfEstrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdfromanmillans
 
Plan Año Escolar Año Escolar 2023-2024. MPPE
Plan Año Escolar Año Escolar 2023-2024. MPPEPlan Año Escolar Año Escolar 2023-2024. MPPE
Plan Año Escolar Año Escolar 2023-2024. MPPELaura Chacón
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptxJunkotantik
 
Día de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialDía de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialpatriciaines1993
 
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDUFICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDUgustavorojas179704
 
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptxLINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptxdanalikcruz2000
 
Heinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoHeinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoFundación YOD YOD
 
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzel CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzprofefilete
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosCesarFernandez937857
 
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIARAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIACarlos Campaña Montenegro
 
Flores Nacionales de América Latina - Botánica
Flores Nacionales de América Latina - BotánicaFlores Nacionales de América Latina - Botánica
Flores Nacionales de América Latina - BotánicaJuan Carlos Fonseca Mata
 
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxOLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxjosetrinidadchavez
 
Marketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETPMarketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETPANEP - DETP
 
Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024IES Vicent Andres Estelles
 

Último (20)

Estrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdfEstrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdf
 
Plan Año Escolar Año Escolar 2023-2024. MPPE
Plan Año Escolar Año Escolar 2023-2024. MPPEPlan Año Escolar Año Escolar 2023-2024. MPPE
Plan Año Escolar Año Escolar 2023-2024. MPPE
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptx
 
Día de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialDía de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundial
 
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDUFICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDU
 
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptxLINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
 
Power Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptxPower Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptx
 
Unidad 4 | Teorías de las Comunicación | MCDI
Unidad 4 | Teorías de las Comunicación | MCDIUnidad 4 | Teorías de las Comunicación | MCDI
Unidad 4 | Teorías de las Comunicación | MCDI
 
Heinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoHeinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativo
 
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzel CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
 
Unidad 3 | Teorías de la Comunicación | MCDI
Unidad 3 | Teorías de la Comunicación | MCDIUnidad 3 | Teorías de la Comunicación | MCDI
Unidad 3 | Teorías de la Comunicación | MCDI
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos Básicos
 
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIARAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
 
Flores Nacionales de América Latina - Botánica
Flores Nacionales de América Latina - BotánicaFlores Nacionales de América Latina - Botánica
Flores Nacionales de América Latina - Botánica
 
Repaso Pruebas CRECE PR 2024. Ciencia General
Repaso Pruebas CRECE PR 2024. Ciencia GeneralRepaso Pruebas CRECE PR 2024. Ciencia General
Repaso Pruebas CRECE PR 2024. Ciencia General
 
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxOLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
 
La Trampa De La Felicidad. Russ-Harris.pdf
La Trampa De La Felicidad. Russ-Harris.pdfLa Trampa De La Felicidad. Russ-Harris.pdf
La Trampa De La Felicidad. Russ-Harris.pdf
 
Sesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdfSesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdf
 
Marketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETPMarketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETP
 
Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024
 

Introducción al VHDL

  • 1. VHDL: Lenguaje de Descripción Hardware Componentes Básicos del VHDL Sumario Circuitos Digitales II Introducción al Diseño Digital usando VHDL Fernando Aparicio Urbano Molano, Msc. 1 1 Departamento de Telemática Universidad del Cauca Marzo 2012 Fernando A. Urbano M. VHDL
  • 2. VHDL: Lenguaje de Descripción Hardware Componentes Básicos del VHDL Sumario Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 3. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 4. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios VHSIC 1981: DoD primeros lenguajes de descripción hardware bajo el programa VHSIC. Very High Speed Integrated Circuits. En 1983 tres compañías adquieren el contrato con el DoD para desarrollar una versión del lenguaje. Fernando A. Urbano M. VHDL
  • 5. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 6. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios VHDL VHSIC Hardware Description Language Fue estandarizado por la IEEE en Diciembre de 1987. Actualizado y corregido en 1993 (IEEE Std 1076-1993). Permite la descripción en alto nivel del comportamiento del hardware, ya sea a nivel de comportamiento (behavior) o de registros (RTL). Especialmente útil en diseños muy complejos (criptoprocesadores, funciones DSP, procesadores de ADN, etc.) Fernando A. Urbano M. VHDL
  • 7. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 8. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios Generalidades del VHDL Los objetos deben ser denidos (nombre y tipo) antes de ser usados, y las operaciones deben respetar estrictamente el tipo de operandos y resultados ( strongly typed). No diferencia entre mayúsculas y minúsculas, a excepción de los llamados extended identiers ( Case Insensitive). Dene alrededor de 97 palabras reservadas (keywords). Fernando A. Urbano M. VHDL
  • 9. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 10. VHSIC VHDL: Lenguaje de Descripción Hardware VHDL Componentes Básicos del VHDL Generalidades Sumario Comentarios Comentarios Permite comentarios que comienzan con y terminan al nal de la línea: Esto es un comentario. Ejemplos: A= `1' Esto es un comentario de una línea completa. No hace nada. A = `1'; Esto es una asignación de la señal a con un comentario al nal. Esto es un comentario que me dará error porque pasa a la otra línea y el analizador de VHDL no entiende la segunda línea. Fernando A. Urbano M. VHDL
  • 11. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 12. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Componentes Básicos Una abstracción hardware de un sistema digital se llama entity (entidad). Una entidad X, cuando se usa en otra entidad Y, se convierte en un component (componente) para la entidad Y. Para describir una entidad, VHDL provee cinco diferentes tipos de constructores primarios, llamados unidades de diseño: Entity declaration (Entidad). Architecture body (Arquitectura). Conguración. Package declaration (Declaración del Paquete). Package body (Cuerpo del Paquete). Fernando A. Urbano M. VHDL
  • 13. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 14. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Entity (Entidad) Dene un nuevo componente, sus interconexiones de E/S y las declaraciones relacionadas. Describe el interfaz con el mundo exterior y las características comunes a todas las arquitecturas. Sintaxis: Una entidad especica las conexiones externas de un componente. Fernando A. Urbano M. VHDL
  • 15. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 16. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Architecture (Arquitectura) Describe el funcionamiento del dispositivo. Especica el comportamiento del componente, sus interconexiones y componentes internos. Tiene que compilarse para hacerlo funcional. La arquitectura puede ser modelada con diferentes niveles de abstracción. Los detalles internos de una entidad se especican por el cuerpo de una arquitectura usando cualquiera de los siguientes modelos: ujo de datos ( dataow), comportamental y estructural. Fernando A. Urbano M. VHDL
  • 17. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 18. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Flujo de Datos El ujo de datos a través de la entidad se expresa principalmente usando declaraciones de asignación de señales concurrentes. La estructura de la entidad no está explícitamente especicada en éste modelo, pero puede deducirse implícitamente. Fernando A. Urbano M. VHDL
  • 19. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 20. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Comportamental En los modelos de comportamiento el funcionamiento del componente se modela a través del comportamiento de las señales a través de compuertas y registros internos. Este modelo de funcionamiento puede ser en la forma de un algoritmo general, al nivel de transferencia de registros (register transfer level o RTL), ujo de datos o una mezcla de ellos. El elemento de construcción básico en el modelo de comportamiento en VHDL es el proceso (process ). Fernando A. Urbano M. VHDL
  • 21. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Comportamental Fernando A. Urbano M. VHDL
  • 22. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 23. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Estructural Como al armar una plaqueta con diferentes componentes e interconectarlos, VHDL permite armar modelos estructurales y así dar una jerarquía al diseño. En los modelos estructurales se interconectan diferentes componentes utilizando un mapeo de componentes básicos mediante señales que actúan como cables de interconexión. Las interconexiones se hacen mapeando los puertos denidos en las declaraciones de las entidades de los componentes básicos. Fernando A. Urbano M. VHDL
  • 24. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Estructural La entidad y arquitectura de XOR2 y AND2 debe existir. Fernando A. Urbano M. VHDL
  • 25. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Índice 1 VHDL: Lenguaje de Descripción Hardware VHSIC VHDL Generalidades del VHDL Comentarios 2 Componentes Básicos del VHDL Componentes Básicos Entity (Entidad) La Arquitectura Architecture: Flujo de Datos Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Fernando A. Urbano M. VHDL
  • 26. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Modelo Mixto Es posible mezclar los tres estilos que se han visto, en un solo cuerpo de la arquitectura. Esto es, dentro de un architecture body, se pueden usar declaraciones de componentes (estructural), declaraciones de asignación de señales concurrentes (ujo de datos) y declaraciones de procesos (comportamiento). Fernando A. Urbano M. VHDL
  • 27. Componentes Básicos Entity (Entidad) VHDL: Lenguaje de Descripción Hardware La Arquitectura Componentes Básicos del VHDL Architecture: Flujo de Datos Sumario Architecture: Comportamental Architecture: Estructural Architecture: Modelo Mixto Modelo Mixto Fernando A. Urbano M. VHDL
  • 28. Apéndice Sumario Introducción al VHDL Componentes básicos Arquitecturas Fernando A. Urbano M. VHDL
  • 29. Apéndice Lecturas complementarias Lecturas complementarias I Fernando A. Urbano M. VHDL