SlideShare una empresa de Scribd logo
1 de 3
Descargar para leer sin conexión
UNIVERSIDAD DE LOS LLANOS

                                       Facultad de Ciencias Básicas e Ingeniería

                                              Ingeniería Electrónica
                                           FORMATO DE LABORATORIO

FACULTAD:             FACULTAD DE CIENCIAS BÁSICAS E INGENIERÍA

PROGRAMA:             INGENIERÍA ELECTRÓNICA

 Nro                CARACTERISTICAS                                   INFORMACIÓN

  1      DENOMINACIÓN DEL CURSO:                        Circuitos Digitales I

  2      CÓDIGO:                                        611435

  3      No. CRÉDITOS Y RELACIÓN:                       3

1. UNIDADES TEMÁTICAS


Fundamentos de circuitos lógicos.
Simplificación de circuitos lógicos.

Esquemas Básicos de VHDL

2. OBJETIVOS

Comprender el funcionamiento de la herramienta de diseño esquemático y técnica de mapeo
con el fin de describir el comportamiento de sistemas digitales.

3. MATERIALES Y EQUIPO

NEXYS 2, BASYS 2

Software Xilinx ise 12.1 o Superiores

4. PROCEDIMIENTO

Para comprender mejor la herramienta esquemática que incluye el software de Xilinx, se debe
desarrollar, el circuito esquemático, de la siguiente función:

y0 = ( b+c ) ( a+b+d ) ( a+b+e ) ( b'+c'+d'+e' ) ( a'+b'+c' ) ( a'+b'+e' ) ( a'+b'+d' )

 y1 = ( a+c+d ) ( a+c+e ) ( a+c'+d'+e' ) ( a'+c'+d ) ( a'+b+d+e ) ( a'+b'+e' ) ( a'+b'+d' )

 y2 = ( a+d+e ) ( a+d'+e' ) ( a'+c+d+e' ) ( a'+b'+c+d' ) ( a'+b+c'+e ) ( a'+b+c'+d' )

 y3 = ( a+b+e' ) ( a+c+e' ) ( d+e' ) ( a'+b+d'+e ) ( a'+b'+c'+d )

 y4 = ( a+b ) ( a+c ) ( a+d ) ( e )
UNIVERSIDAD DE LOS LLANOS

                                   Facultad de Ciencias Básicas e Ingeniería

                                            Ingeniería Electrónica
                                         FORMATO DE LABORATORIO

         ENTRADAS                  SALIDAS
           ABCDE                    Y0-Y4
           00000                    00010
           00001                    00100
           00010                    00110
           00011                    01000
           00100                    01010
           00101                    01100
           00110                    01110
           00111                    10000
           01000                    10010
           01001                    10100
           01010                    10110
           01011                    11000
           01100                    11010
           01101                    11100
           01110                    11110
           01111                    00011
           10000                    00110
           10001                    01001
           10010                    01100
           10011                    01111
           10100                    10010
           10101                    10101
           10110                    11000
           10111                    11011
           11000                    11110
           11001                    00001
           11010                    00010
           11011                    00011
           11100                    00100
           11101                    00101
           11110                    00110
           11111                    00111


A partir de esta información se debe :
UNIVERSIDAD DE LOS LLANOS

                                      Facultad de Ciencias Básicas e Ingeniería

                                             Ingeniería Electrónica
                                          FORMATO DE LABORATORIO

1) Diseñar el circuito esquemático usando la herramienta de diseño de circuitos esquemáticos
Xilinx , solo usando las compuertas básicas conocidas, (and, or, not)

2) Se debe generar el tren de pulsos correspondiente para verificar las ecuaciones planteadas

3) Se debe entregar la función también usando el mapeo de puertos.

NOTA: EL TIEMPO DE ENTREGA CORRESPONDE ES HASTA LAS 6PM DEL DIA LUNES 4
DE MARZO.

5. FUENTES BIBLIOGRAFICAS

5.1 FUENTES DE CONSULTA BASICA

FLOYD, Thomas L. Fundamentos de sistemas digitales, Prentice Hall, 7ed.

TOCCI. Sistemas Digitales principios y aplicaciones, Prentice Hall, 6ed.

WAKERLY. Diseño digital principios y prácticas, Prentice Hall.

MANO. Diseño digital, Prentice Hall

Maxinez, David G. El arte de programar sistemas digitales.

5.2 FUENTES DE CONSULTA PARA PROFUNDIZACION

BROWN S. Fundamentals of Digital logic with VHDL Design, McGraw Hill, 2000.

Hwang, Enoch O. Microprocessor Design, Principles and Practices With VHDL, Brooks / Cole
2004

Pedroni, Volnei A. Ciruit design with VHDL, MIT Press, Cambridge Massachusetts, London,
England, 2004.

5.3 Fuente de consulta e-libro

Computación IV Diseño de los sistemas digitales: combinatorios, secuenciales,
funcionales e iterativos
Autor: Ibarra Quevedo, Raúl Serrano López, Miguel

Diseño VHDL de sistemas digitales sobre dispositivos lógicos programables FPGAS
Autor: Ballesteros Larrotta, Dora María Piraján Aranguren, Alexis Javier

Más contenido relacionado

La actualidad más candente (11)

Victor marquez
Victor marquezVictor marquez
Victor marquez
 
Sistemas numéricos
Sistemas numéricosSistemas numéricos
Sistemas numéricos
 
Sistema Binario
Sistema BinarioSistema Binario
Sistema Binario
 
Introduccion Xilinx
Introduccion XilinxIntroduccion Xilinx
Introduccion Xilinx
 
Sistema Binario
Sistema BinarioSistema Binario
Sistema Binario
 
Csd producto académico 01
Csd   producto académico 01Csd   producto académico 01
Csd producto académico 01
 
Formas canonicas
Formas canonicasFormas canonicas
Formas canonicas
 
Aritmetica De Binarios
Aritmetica De BinariosAritmetica De Binarios
Aritmetica De Binarios
 
Conversiones entre sistemas numericos
Conversiones  entre sistemas numericosConversiones  entre sistemas numericos
Conversiones entre sistemas numericos
 
Caso aplicado flujo vehicular
Caso aplicado   flujo vehicularCaso aplicado   flujo vehicular
Caso aplicado flujo vehicular
 
Operaciones Básicas con el Sistema Binario
Operaciones Básicas con el Sistema BinarioOperaciones Básicas con el Sistema Binario
Operaciones Básicas con el Sistema Binario
 

Destacado

Stuart hall estudios culturales dos paradigmas* revista “causas y azares”, n...
Stuart hall estudios culturales  dos paradigmas* revista “causas y azares”, n...Stuart hall estudios culturales  dos paradigmas* revista “causas y azares”, n...
Stuart hall estudios culturales dos paradigmas* revista “causas y azares”, n...José Carlos Bonino Jasaui
 
Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015
Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015
Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015Abeille Assurances
 
Rheto_Culture_Europe
Rheto_Culture_EuropeRheto_Culture_Europe
Rheto_Culture_EuropeLo Nicolas
 
Art nouveau2
Art nouveau2Art nouveau2
Art nouveau2alvinne29
 
Jenna Pierce Recommendation Letters
Jenna Pierce Recommendation LettersJenna Pierce Recommendation Letters
Jenna Pierce Recommendation LettersJenna Pierce
 
Lundi jour du marche a betafo
Lundi jour du marche a betafoLundi jour du marche a betafo
Lundi jour du marche a betafosarindra
 
Internet : comment passer du gratuit au payant
Internet : comment passer du gratuit au payantInternet : comment passer du gratuit au payant
Internet : comment passer du gratuit au payantLaurence Genty
 
Présentation jha dans les jv (vidéo)
Présentation jha dans les jv (vidéo)Présentation jha dans les jv (vidéo)
Présentation jha dans les jv (vidéo)jack-storm
 
Prononciation Anglaise
Prononciation AnglaisePrononciation Anglaise
Prononciation Anglaiseeric4274
 
Mystere trio 15 ans déjà ! Rétrospective
Mystere trio 15 ans déjà ! RétrospectiveMystere trio 15 ans déjà ! Rétrospective
Mystere trio 15 ans déjà ! RétrospectiveLaurent MEYER
 
Edition 2012 du Baromètre des Prestataires Cloud Computing
Edition 2012 du Baromètre des Prestataires Cloud Computing Edition 2012 du Baromètre des Prestataires Cloud Computing
Edition 2012 du Baromètre des Prestataires Cloud Computing MARKESS
 
ATELIER presentation Nouadibou
ATELIER presentation NouadibouATELIER presentation Nouadibou
ATELIER presentation NouadibouRobert Lee
 
KT29-01 Pour entrer dans le silence
KT29-01 Pour entrer dans le silenceKT29-01 Pour entrer dans le silence
KT29-01 Pour entrer dans le silenceParoisse d'Assesse
 

Destacado (20)

Stuart hall estudios culturales dos paradigmas* revista “causas y azares”, n...
Stuart hall estudios culturales  dos paradigmas* revista “causas y azares”, n...Stuart hall estudios culturales  dos paradigmas* revista “causas y azares”, n...
Stuart hall estudios culturales dos paradigmas* revista “causas y azares”, n...
 
Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015
Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015
Baromètre Odoxa pour Aviva / BFM / Challenges - novembre 2015
 
Rheto_Culture_Europe
Rheto_Culture_EuropeRheto_Culture_Europe
Rheto_Culture_Europe
 
Art nouveau2
Art nouveau2Art nouveau2
Art nouveau2
 
Tipos de conectores
Tipos de conectoresTipos de conectores
Tipos de conectores
 
Jenna Pierce Recommendation Letters
Jenna Pierce Recommendation LettersJenna Pierce Recommendation Letters
Jenna Pierce Recommendation Letters
 
La petite monnaie du bonheur
La petite monnaie du bonheurLa petite monnaie du bonheur
La petite monnaie du bonheur
 
Lundi jour du marche a betafo
Lundi jour du marche a betafoLundi jour du marche a betafo
Lundi jour du marche a betafo
 
Internet : comment passer du gratuit au payant
Internet : comment passer du gratuit au payantInternet : comment passer du gratuit au payant
Internet : comment passer du gratuit au payant
 
6
66
6
 
Présentation jha dans les jv (vidéo)
Présentation jha dans les jv (vidéo)Présentation jha dans les jv (vidéo)
Présentation jha dans les jv (vidéo)
 
Web Media Social
Web Media SocialWeb Media Social
Web Media Social
 
jeux: quelques pistes de travail...
jeux: quelques pistes de travail...jeux: quelques pistes de travail...
jeux: quelques pistes de travail...
 
Prononciation Anglaise
Prononciation AnglaisePrononciation Anglaise
Prononciation Anglaise
 
Mystere trio 15 ans déjà ! Rétrospective
Mystere trio 15 ans déjà ! RétrospectiveMystere trio 15 ans déjà ! Rétrospective
Mystere trio 15 ans déjà ! Rétrospective
 
Edition 2012 du Baromètre des Prestataires Cloud Computing
Edition 2012 du Baromètre des Prestataires Cloud Computing Edition 2012 du Baromètre des Prestataires Cloud Computing
Edition 2012 du Baromètre des Prestataires Cloud Computing
 
[FR] Column on Multi-Asset
[FR] Column on Multi-Asset[FR] Column on Multi-Asset
[FR] Column on Multi-Asset
 
ATELIER presentation Nouadibou
ATELIER presentation NouadibouATELIER presentation Nouadibou
ATELIER presentation Nouadibou
 
KT29-01 Pour entrer dans le silence
KT29-01 Pour entrer dans le silenceKT29-01 Pour entrer dans le silence
KT29-01 Pour entrer dans le silence
 
Taller 2 cifras significativas (1)
Taller 2   cifras significativas (1)Taller 2   cifras significativas (1)
Taller 2 cifras significativas (1)
 

Similar a Lab1 2013

Entregable Nº2
Entregable Nº2Entregable Nº2
Entregable Nº2josodo
 
Practica Binario Calculadora
Practica Binario CalculadoraPractica Binario Calculadora
Practica Binario Calculadoraelmacabro
 
S I S T E M A S D E N U M E R O S D I G I T A L E S
S I S T E M A S  D E  N U M E R O S  D I G I T A L E SS I S T E M A S  D E  N U M E R O S  D I G I T A L E S
S I S T E M A S D E N U M E R O S D I G I T A L E SRichard Ochoa
 
Antologia electronica basica
Antologia electronica basicaAntologia electronica basica
Antologia electronica basicaRené Domínguez
 
OperacióN
OperacióNOperacióN
OperacióNteovera
 
Laboratorio del display
Laboratorio del displayLaboratorio del display
Laboratorio del displaydani9609
 
Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...
Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...
Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...Fluxx
 
2do Laboratorio De Sistemas Digitales
2do Laboratorio De Sistemas Digitales2do Laboratorio De Sistemas Digitales
2do Laboratorio De Sistemas DigitalesJrpvs
 
OperacióN1
OperacióN1OperacióN1
OperacióN1teovera
 
Complemento a dos
Complemento a dosComplemento a dos
Complemento a dosEvelyn YB
 
Calculo De Complemento 2 De Un Numero Binario
Calculo De Complemento  2  De Un Numero BinarioCalculo De Complemento  2  De Un Numero Binario
Calculo De Complemento 2 De Un Numero Binarioteovera
 
Complementos para operaciones binarias
Complementos para operaciones binariasComplementos para operaciones binarias
Complementos para operaciones binariasEfren_Montero
 
Primer deber mision vision
Primer deber mision visionPrimer deber mision vision
Primer deber mision visionUMVZV
 
Problemas resueltos Electrónica digital
Problemas resueltos Electrónica digitalProblemas resueltos Electrónica digital
Problemas resueltos Electrónica digitalCarlos Cardelo
 
[Inf 140] Representacion Interna De Datos (1 X Hoja)
[Inf 140] Representacion Interna De Datos (1 X Hoja)[Inf 140] Representacion Interna De Datos (1 X Hoja)
[Inf 140] Representacion Interna De Datos (1 X Hoja)Daniel Barraza
 

Similar a Lab1 2013 (20)

Entregable Nº2
Entregable Nº2Entregable Nº2
Entregable Nº2
 
Practica Binario Calculadora
Practica Binario CalculadoraPractica Binario Calculadora
Practica Binario Calculadora
 
S I S T E M A S D E N U M E R O S D I G I T A L E S
S I S T E M A S  D E  N U M E R O S  D I G I T A L E SS I S T E M A S  D E  N U M E R O S  D I G I T A L E S
S I S T E M A S D E N U M E R O S D I G I T A L E S
 
Antologia electronica basica
Antologia electronica basicaAntologia electronica basica
Antologia electronica basica
 
OperacióN
OperacióNOperacióN
OperacióN
 
Laboratorio del display
Laboratorio del displayLaboratorio del display
Laboratorio del display
 
Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...
Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...
Fluxx-Don't be a (big) data hater event-181012-facts, stats, quotes and quest...
 
2do Laboratorio De Sistemas Digitales
2do Laboratorio De Sistemas Digitales2do Laboratorio De Sistemas Digitales
2do Laboratorio De Sistemas Digitales
 
OperacióN1
OperacióN1OperacióN1
OperacióN1
 
Complemento a dos
Complemento a dosComplemento a dos
Complemento a dos
 
Calculo De Complemento 2 De Un Numero Binario
Calculo De Complemento  2  De Un Numero BinarioCalculo De Complemento  2  De Un Numero Binario
Calculo De Complemento 2 De Un Numero Binario
 
Complementos para operaciones binarias
Complementos para operaciones binariasComplementos para operaciones binarias
Complementos para operaciones binarias
 
Primer deber mision vision
Primer deber mision visionPrimer deber mision vision
Primer deber mision vision
 
Problemas resueltos Electrónica digital
Problemas resueltos Electrónica digitalProblemas resueltos Electrónica digital
Problemas resueltos Electrónica digital
 
[Inf 140] Representacion Interna De Datos (1 X Hoja)
[Inf 140] Representacion Interna De Datos (1 X Hoja)[Inf 140] Representacion Interna De Datos (1 X Hoja)
[Inf 140] Representacion Interna De Datos (1 X Hoja)
 
Saez09
Saez09Saez09
Saez09
 
Lab2 digitales
Lab2 digitalesLab2 digitales
Lab2 digitales
 
El sistema binario
El sistema binarioEl sistema binario
El sistema binario
 
los sistemas binarios
los sistemas binarioslos sistemas binarios
los sistemas binarios
 
El sistema binario
El sistema binarioEl sistema binario
El sistema binario
 

Lab1 2013

  • 1. UNIVERSIDAD DE LOS LLANOS Facultad de Ciencias Básicas e Ingeniería Ingeniería Electrónica FORMATO DE LABORATORIO FACULTAD: FACULTAD DE CIENCIAS BÁSICAS E INGENIERÍA PROGRAMA: INGENIERÍA ELECTRÓNICA Nro CARACTERISTICAS INFORMACIÓN 1 DENOMINACIÓN DEL CURSO: Circuitos Digitales I 2 CÓDIGO: 611435 3 No. CRÉDITOS Y RELACIÓN: 3 1. UNIDADES TEMÁTICAS Fundamentos de circuitos lógicos. Simplificación de circuitos lógicos. Esquemas Básicos de VHDL 2. OBJETIVOS Comprender el funcionamiento de la herramienta de diseño esquemático y técnica de mapeo con el fin de describir el comportamiento de sistemas digitales. 3. MATERIALES Y EQUIPO NEXYS 2, BASYS 2 Software Xilinx ise 12.1 o Superiores 4. PROCEDIMIENTO Para comprender mejor la herramienta esquemática que incluye el software de Xilinx, se debe desarrollar, el circuito esquemático, de la siguiente función: y0 = ( b+c ) ( a+b+d ) ( a+b+e ) ( b'+c'+d'+e' ) ( a'+b'+c' ) ( a'+b'+e' ) ( a'+b'+d' ) y1 = ( a+c+d ) ( a+c+e ) ( a+c'+d'+e' ) ( a'+c'+d ) ( a'+b+d+e ) ( a'+b'+e' ) ( a'+b'+d' ) y2 = ( a+d+e ) ( a+d'+e' ) ( a'+c+d+e' ) ( a'+b'+c+d' ) ( a'+b+c'+e ) ( a'+b+c'+d' ) y3 = ( a+b+e' ) ( a+c+e' ) ( d+e' ) ( a'+b+d'+e ) ( a'+b'+c'+d ) y4 = ( a+b ) ( a+c ) ( a+d ) ( e )
  • 2. UNIVERSIDAD DE LOS LLANOS Facultad de Ciencias Básicas e Ingeniería Ingeniería Electrónica FORMATO DE LABORATORIO ENTRADAS SALIDAS ABCDE Y0-Y4 00000 00010 00001 00100 00010 00110 00011 01000 00100 01010 00101 01100 00110 01110 00111 10000 01000 10010 01001 10100 01010 10110 01011 11000 01100 11010 01101 11100 01110 11110 01111 00011 10000 00110 10001 01001 10010 01100 10011 01111 10100 10010 10101 10101 10110 11000 10111 11011 11000 11110 11001 00001 11010 00010 11011 00011 11100 00100 11101 00101 11110 00110 11111 00111 A partir de esta información se debe :
  • 3. UNIVERSIDAD DE LOS LLANOS Facultad de Ciencias Básicas e Ingeniería Ingeniería Electrónica FORMATO DE LABORATORIO 1) Diseñar el circuito esquemático usando la herramienta de diseño de circuitos esquemáticos Xilinx , solo usando las compuertas básicas conocidas, (and, or, not) 2) Se debe generar el tren de pulsos correspondiente para verificar las ecuaciones planteadas 3) Se debe entregar la función también usando el mapeo de puertos. NOTA: EL TIEMPO DE ENTREGA CORRESPONDE ES HASTA LAS 6PM DEL DIA LUNES 4 DE MARZO. 5. FUENTES BIBLIOGRAFICAS 5.1 FUENTES DE CONSULTA BASICA FLOYD, Thomas L. Fundamentos de sistemas digitales, Prentice Hall, 7ed. TOCCI. Sistemas Digitales principios y aplicaciones, Prentice Hall, 6ed. WAKERLY. Diseño digital principios y prácticas, Prentice Hall. MANO. Diseño digital, Prentice Hall Maxinez, David G. El arte de programar sistemas digitales. 5.2 FUENTES DE CONSULTA PARA PROFUNDIZACION BROWN S. Fundamentals of Digital logic with VHDL Design, McGraw Hill, 2000. Hwang, Enoch O. Microprocessor Design, Principles and Practices With VHDL, Brooks / Cole 2004 Pedroni, Volnei A. Ciruit design with VHDL, MIT Press, Cambridge Massachusetts, London, England, 2004. 5.3 Fuente de consulta e-libro Computación IV Diseño de los sistemas digitales: combinatorios, secuenciales, funcionales e iterativos Autor: Ibarra Quevedo, Raúl Serrano López, Miguel Diseño VHDL de sistemas digitales sobre dispositivos lógicos programables FPGAS Autor: Ballesteros Larrotta, Dora María Piraján Aranguren, Alexis Javier