SlideShare una empresa de Scribd logo
1 de 14
PRACTICA DE SISTEMAS
     DIGITALES
    ING. TUESMAN CASTILLO
VHDL

• Very high speed Hardware Description Language

• Diseñado por Intermetrics, IBM y Texas Instruments e
  impulsado por el Departamento de Defensa de los
  Estados Unidos.

• VHDL es un lenguaje de semántica orientada a la
  simulación
MODOS DE DESCRIPCIÓN DE
       CIRCUITOS LÓGICOS.
• El lenguaje de descripción hardware VHDL permite
  estos tres modos de descripción:



     • comportamental
     • estructural
     • flujo de datos o RTL
MODELO COMPORTAMENTAL

• Lo importante es la función que relaciona la salida
  con la entrada.
MODELO ESTRUCTURAL

• Se especifican los bloques que componen un
  circuito y sus interconexiones. Cada bloque
  integrante debe contar con su descripción previa
  de manera que se construye una jerarquía de
  descripciones donde las inferiores dan lugar a
  superiores más complejas y así sucesivamente.
MODELADO DE FLUJO DE DATOS O
            RTL
• Se declara la sucesión temporal con la que
  evolucionan las diferentes señales del modelo
  descrito.
ENTIDAD Y ARQUITECTURA DE UN
            MODELO.
• El bloque se conoce en VHDL como ENTIDAD
ENTIDAD Y ARQUITECTURA DE UN
            MODELO.
• Una ENTIDAD indica las señales que entran al
  circuito y las que salen, es decir, declara la relación
  del circuito con el mundo exterior.
ENTIDAD Y ARQUITECTURA DE UN
           MODELO.
ARQUITECTURA DE UN MODELO.

• La arquitectura define la funcionalidad de la
  entidad y su sintaxis es la siguiente:
DESCRIPCIÓN DE ARQUITECTURA
     COMPORTAMENTAL
DESCRIPCIÓN DE ARQUITECTURA DE
        FLUJO DE DATOS
DESCRIPCIÓN DE ARQUITECTURA
        ESTRUCTURAL
EJEMPLOS DE DESCRIPCIÓN
      COMPORTAMENTAL VHDL.
• Puerta AND de dos entradas sin retardo

Más contenido relacionado

Similar a Presentación practica de sistemas digitales

Conceptos basicos de_vhdl
Conceptos basicos de_vhdlConceptos basicos de_vhdl
Conceptos basicos de_vhdl
Angie Cardenas
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)
Alveiro2
 
Capitulo 4 arquitecturadehardware
Capitulo 4 arquitecturadehardwareCapitulo 4 arquitecturadehardware
Capitulo 4 arquitecturadehardware
Mariel Nuñez
 

Similar a Presentación practica de sistemas digitales (20)

Electrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDLElectrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDL
 
Electrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDLElectrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDL
 
Conceptos basicos de_vhdl
Conceptos basicos de_vhdlConceptos basicos de_vhdl
Conceptos basicos de_vhdl
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)
 
Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3E
 
Introduccción a VHDL por https://vhdl.es
Introduccción a VHDL por https://vhdl.esIntroduccción a VHDL por https://vhdl.es
Introduccción a VHDL por https://vhdl.es
 
Teorías y estándares internacionales para la construcción de redes
Teorías y estándares internacionales para la construcción de redesTeorías y estándares internacionales para la construcción de redes
Teorías y estándares internacionales para la construcción de redes
 
Ejemplo de paper
Ejemplo de paperEjemplo de paper
Ejemplo de paper
 
Sd3
Sd3Sd3
Sd3
 
Unidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JPUnidad 4 lenguaje hdl ISC J3 - A JP
Unidad 4 lenguaje hdl ISC J3 - A JP
 
Conexiones punto a punto
Conexiones punto a puntoConexiones punto a punto
Conexiones punto a punto
 
ARQII_00-Repaso-2012.pdf
ARQII_00-Repaso-2012.pdfARQII_00-Repaso-2012.pdf
ARQII_00-Repaso-2012.pdf
 
Vhdl organización y arquitectura
Vhdl organización y arquitectura Vhdl organización y arquitectura
Vhdl organización y arquitectura
 
8.- DISPO LOG PROG.pdf
8.- DISPO LOG PROG.pdf8.- DISPO LOG PROG.pdf
8.- DISPO LOG PROG.pdf
 
8.- DISPO LOG PROG.pdf
8.- DISPO LOG PROG.pdf8.- DISPO LOG PROG.pdf
8.- DISPO LOG PROG.pdf
 
Introduccion a la microelectronica
Introduccion a la microelectronicaIntroduccion a la microelectronica
Introduccion a la microelectronica
 
Capitulo 4 arquitecturadehardware
Capitulo 4 arquitecturadehardwareCapitulo 4 arquitecturadehardware
Capitulo 4 arquitecturadehardware
 
⭐⭐⭐⭐⭐ PRÁCTICA: RESOLUCIÓN DE CIRCUITOS COMBINATORIALES CON VHDL
⭐⭐⭐⭐⭐ PRÁCTICA: RESOLUCIÓN DE CIRCUITOS COMBINATORIALES CON VHDL⭐⭐⭐⭐⭐ PRÁCTICA: RESOLUCIÓN DE CIRCUITOS COMBINATORIALES CON VHDL
⭐⭐⭐⭐⭐ PRÁCTICA: RESOLUCIÓN DE CIRCUITOS COMBINATORIALES CON VHDL
 
Filosofía en proyectos de subestaciones
Filosofía en proyectos de subestacionesFilosofía en proyectos de subestaciones
Filosofía en proyectos de subestaciones
 
Estandares de documentacion
Estandares de documentacionEstandares de documentacion
Estandares de documentacion
 

Presentación practica de sistemas digitales

  • 1. PRACTICA DE SISTEMAS DIGITALES ING. TUESMAN CASTILLO
  • 2. VHDL • Very high speed Hardware Description Language • Diseñado por Intermetrics, IBM y Texas Instruments e impulsado por el Departamento de Defensa de los Estados Unidos. • VHDL es un lenguaje de semántica orientada a la simulación
  • 3. MODOS DE DESCRIPCIÓN DE CIRCUITOS LÓGICOS. • El lenguaje de descripción hardware VHDL permite estos tres modos de descripción: • comportamental • estructural • flujo de datos o RTL
  • 4. MODELO COMPORTAMENTAL • Lo importante es la función que relaciona la salida con la entrada.
  • 5. MODELO ESTRUCTURAL • Se especifican los bloques que componen un circuito y sus interconexiones. Cada bloque integrante debe contar con su descripción previa de manera que se construye una jerarquía de descripciones donde las inferiores dan lugar a superiores más complejas y así sucesivamente.
  • 6. MODELADO DE FLUJO DE DATOS O RTL • Se declara la sucesión temporal con la que evolucionan las diferentes señales del modelo descrito.
  • 7. ENTIDAD Y ARQUITECTURA DE UN MODELO. • El bloque se conoce en VHDL como ENTIDAD
  • 8. ENTIDAD Y ARQUITECTURA DE UN MODELO. • Una ENTIDAD indica las señales que entran al circuito y las que salen, es decir, declara la relación del circuito con el mundo exterior.
  • 9. ENTIDAD Y ARQUITECTURA DE UN MODELO.
  • 10. ARQUITECTURA DE UN MODELO. • La arquitectura define la funcionalidad de la entidad y su sintaxis es la siguiente:
  • 12. DESCRIPCIÓN DE ARQUITECTURA DE FLUJO DE DATOS
  • 14. EJEMPLOS DE DESCRIPCIÓN COMPORTAMENTAL VHDL. • Puerta AND de dos entradas sin retardo