SlideShare una empresa de Scribd logo
INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO
PUERTO.
PRINCIPIOS ELECTRÓNICOS
LENGUAJE HDL
PAT CHAN JHONATAN CANDIDO
NIELS ARANA CUEVAS
LENGUAJES DE DESCRIPCIÓN DEL HARDWARE
(HDL)
 Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad
de los diseños.
 Se puede hacer una analogía con los que se pueden llamar lenguajes de
descripción de software:
Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas
electrónicos discretos.
• Se utilizan en la fase de diseño
• Necesidad de Simulador lógico
– Herramienta necesaria para reproducir el comportamiento del sistema modelado
– Permite la verificación del sistema diseñado.
Lenguajes de descripción de hardware (HDLs).
Síntesis lógica
Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una
implementación tecnológica.
 El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de
realizar las descripciones con HDLs.
 No se pueden utilizar todas las construcciones de los lenguajes, y las que están
permitidas hay que utilizarlas adecuadamente.
 Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas
restricciones distintas, si bien, son muy parecidas en cada uno de ellos.
Ventajas del uso de HLDs
 El lenguaje es independiente de la tecnología:
– El mismo modelo puede ser sintetizado en librerías de distintos
vendedores.
– Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra
tecnología es mucho más rápida.
– Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo
mínimo.
 Soportan tres estilos de descripción básicos:
– Descripción comportamental (behavioral)
– Descripción de flujo de datos (data-flow)
– Descripción estructural (estructural)
 Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño.
La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas,
permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con
un esfuerzo mucho menor que si se realizase en fases posteriores.
 Soporta modelos de tiempos síncronos y asíncronos.
 Posibilidad de implementar distintas técnicas de modelado digital (descripciones
de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y
ecuaciones Booleanas
 El lenguaje es público y "not propietary" (especialmente en el caso del VHDL).
INCONVENIENTES DEL USO DE HDLS
 Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar
como nueva metodología.
 Necesaria la adquisición de nuevas herramientas:
– Simuladores
– Sintetizadores de HDL, teniendo que mantener el resto de las
herramientas para otras fases del
Diseño.
 El uso de estos lenguajes hace que involuntariamente se pierda un poco de control
sobre el aspecto físico del diseño, dándole una mayor importancia a la
funcionalidad de dicho diseño.
LENGUAJES DE DESCRIPCIÓN DE HARDWARE
 En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro
HDL, el UDI/L se utiliza exclusivamente en Japón.
 VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace
como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para
disponer de una herramienta estándar, independiente para la especificación
(modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE
lo adopta y estandariza.
 Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial
hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público
y el IEEE lo estandariza en 1995.
 SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para
describir y simular circuitos digitales. Se publicó en 1999.
VHDL: CARACTERÍSTICAS GENERALES
VHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de
alto nivel de propósito general (ADA en especial): de ellos hereda:
 Concepto de tipo de datos, con posibilidad de definir nuevos tipos →
facilita la descripción de circuitos con diversos niveles de abstracción.
 Sentencias de control de flujos (if, for while). Junto con la característica
anterior → potencia para desarrollar algoritmos.
 Capacidad de estructurar el código (subprogramas, funciones o
procedimiento), permite afrontar algoritmos complejos.
MODELO DEL HW
Modelo de tiempo: ciclo de simulación
¿Para qué sirve el VHDL?
SISTEMAS DIGITALES
PORTS: Puertos de una entidad
PORTS: Modos de un puerto
Una señal que es bidireccional, entrada/salida de la entidad.
El problema de la concurrencia del HW
Concurrencia: Una posible solución
CONCLUSION
Los circuitos de escala de integración media y alta (MSI y LSI respectivamente) se diseñaron
mediante la realización de un prototipo formado por módulos más sencillos y la
comprobación de su funcionamiento antes de proceder a la integración. Esta forma de
diseño recibe el nombre de abajo a arriba (bottom-up) porque se enlazan diversos módulos
para constituir un bloque funcional más complejo. Pero en elcasode los circuitos integrados
de complejidad VLSI y superiores no resulta práctica la realización física de un prototipo y
por ello es necesario simular y verificar su correcto comportamiento antes de integrarlos..
Así,mediante simulaciónes posible una rápida detección de errores en fases tempranas del
diseño, resulta factible la reutilización del mismo para diferentes tecnologías y se pueden
utilizar las herramientas de síntesis actuales para obtener rápidamente un esquema lógico
o estructural y, en definitiva, una netlist* de entrada para el trazado físico (layout) del ASIC,
MCM, etc. o la asignación de recursos (mapping) en el caso de la lógica programable (PLDs
y FPGAs).Todo ello, obviamente, incrementa la productividad y la eficacia del diseño.

Más contenido relacionado

La actualidad más candente (18)

Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3E
 
Logisim
LogisimLogisim
Logisim
 
Ventajas y Desventajas modelo OSI
Ventajas y Desventajas modelo OSIVentajas y Desventajas modelo OSI
Ventajas y Desventajas modelo OSI
 
Modelo Osi
Modelo OsiModelo Osi
Modelo Osi
 
Modelo OSI de ISO
Modelo OSI de ISOModelo OSI de ISO
Modelo OSI de ISO
 
modelo tcp y osi altagracia y solanyi
modelo tcp y osi altagracia y solanyimodelo tcp y osi altagracia y solanyi
modelo tcp y osi altagracia y solanyi
 
Unidad 2
Unidad 2Unidad 2
Unidad 2
 
Capa fisica
Capa fisicaCapa fisica
Capa fisica
 
Paradigmas programacion
Paradigmas programacionParadigmas programacion
Paradigmas programacion
 
Programación Orientada a Aspectos (POA)
Programación Orientada a Aspectos (POA)Programación Orientada a Aspectos (POA)
Programación Orientada a Aspectos (POA)
 
Modelo Osi
Modelo OsiModelo Osi
Modelo Osi
 
3.modelos osi y tcpip
3.modelos osi y tcpip 3.modelos osi y tcpip
3.modelos osi y tcpip
 
mensajes motivadores
mensajes motivadoresmensajes motivadores
mensajes motivadores
 
Modelo osi
Modelo osiModelo osi
Modelo osi
 
Manual De DISEÑO DIGITAL CON VHDL
Manual De DISEÑO DIGITAL CON VHDLManual De DISEÑO DIGITAL CON VHDL
Manual De DISEÑO DIGITAL CON VHDL
 
PARADIGMA IMPERATIVO
PARADIGMA IMPERATIVOPARADIGMA IMPERATIVO
PARADIGMA IMPERATIVO
 
3. Modelos OSI y TCP/IP (Características, Funciones, Diferencias)
3.	Modelos OSI y TCP/IP (Características, Funciones, Diferencias)3.	Modelos OSI y TCP/IP (Características, Funciones, Diferencias)
3. Modelos OSI y TCP/IP (Características, Funciones, Diferencias)
 
Protocolos de la capas del modelo osi
Protocolos de la capas del modelo osiProtocolos de la capas del modelo osi
Protocolos de la capas del modelo osi
 

Destacado

Principios electricos y aplicaciones digitalesl sesion 1
Principios electricos y aplicaciones digitalesl sesion 1Principios electricos y aplicaciones digitalesl sesion 1
Principios electricos y aplicaciones digitalesl sesion 1
Rodolfo Alcantara Rosales
 
Principios electricos y aplicaciones digitales
Principios electricos y aplicaciones digitalesPrincipios electricos y aplicaciones digitales
Principios electricos y aplicaciones digitales
CarlosAlejandro56
 
Sistemas digitales principios y aplicaciones ronald tocci - 5º edición
Sistemas digitales principios y aplicaciones   ronald tocci - 5º ediciónSistemas digitales principios y aplicaciones   ronald tocci - 5º edición
Sistemas digitales principios y aplicaciones ronald tocci - 5º edición
Alex Soto
 

Destacado (17)

Unidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBIUnidad 4 lenguaje hdl pe RUBI
Unidad 4 lenguaje hdl pe RUBI
 
Unidad 4 lenguajes hdl
Unidad 4 lenguajes hdlUnidad 4 lenguajes hdl
Unidad 4 lenguajes hdl
 
Principios electricos y aplicaciones digitalesl sesion 1
Principios electricos y aplicaciones digitalesl sesion 1Principios electricos y aplicaciones digitalesl sesion 1
Principios electricos y aplicaciones digitalesl sesion 1
 
Capitulo i funciones_iii
Capitulo i funciones_iiiCapitulo i funciones_iii
Capitulo i funciones_iii
 
141553391 lenguaje-hdl
141553391 lenguaje-hdl141553391 lenguaje-hdl
141553391 lenguaje-hdl
 
Cálculo diferencial
Cálculo diferencialCálculo diferencial
Cálculo diferencial
 
Convocatoria 2015
Convocatoria 2015Convocatoria 2015
Convocatoria 2015
 
Plan de trabajo cb 2015 2
Plan de trabajo cb 2015 2Plan de trabajo cb 2015 2
Plan de trabajo cb 2015 2
 
Practica 1 estatica
Practica 1 estaticaPractica 1 estatica
Practica 1 estatica
 
Tecnica top down
Tecnica top downTecnica top down
Tecnica top down
 
Tabla derivadas
Tabla derivadasTabla derivadas
Tabla derivadas
 
Practica 4 gradiente
Practica 4 gradientePractica 4 gradiente
Practica 4 gradiente
 
Practica4 longitud de arco de funciones parametrizadas
Practica4 longitud de arco de funciones parametrizadasPractica4 longitud de arco de funciones parametrizadas
Practica4 longitud de arco de funciones parametrizadas
 
Principios electricos y aplicaciones digitales
Principios electricos y aplicaciones digitalesPrincipios electricos y aplicaciones digitales
Principios electricos y aplicaciones digitales
 
Top down
Top downTop down
Top down
 
Sistemas digitales principios y aplicaciones ronald tocci - 5º edición
Sistemas digitales principios y aplicaciones   ronald tocci - 5º ediciónSistemas digitales principios y aplicaciones   ronald tocci - 5º edición
Sistemas digitales principios y aplicaciones ronald tocci - 5º edición
 
Programa zacatacas
Programa zacatacasPrograma zacatacas
Programa zacatacas
 

Similar a Unidad 4 lenguaje hdl ISC J3 - A JP

Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
lorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
lorena
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
lorena
 
Herramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosHerramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidos
Luisf Muñoz
 

Similar a Unidad 4 lenguaje hdl ISC J3 - A JP (20)

Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Hdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardwareHdl lenguaje descriptivo de hardware
Hdl lenguaje descriptivo de hardware
 
Herramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidosHerramientas de diseño para sistemas embebidos
Herramientas de diseño para sistemas embebidos
 
Unidad3
Unidad3Unidad3
Unidad3
 
Curso de vhdl
Curso de vhdl Curso de vhdl
Curso de vhdl
 
M3
M3M3
M3
 
M3
M3M3
M3
 
IDLconVHDL2010.pdf
IDLconVHDL2010.pdfIDLconVHDL2010.pdf
IDLconVHDL2010.pdf
 
Electrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDLElectrónica digital: Apuntes de VHDL
Electrónica digital: Apuntes de VHDL
 
intro_VHDL.pdf
intro_VHDL.pdfintro_VHDL.pdf
intro_VHDL.pdf
 
Intro vhdl
Intro vhdlIntro vhdl
Intro vhdl
 
cap2.pdf
cap2.pdfcap2.pdf
cap2.pdf
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200E
 
Arquitecturas de computadores_avanzadas
Arquitecturas de computadores_avanzadasArquitecturas de computadores_avanzadas
Arquitecturas de computadores_avanzadas
 
Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2
 
01-VHDL-ALuca.ppt
01-VHDL-ALuca.ppt01-VHDL-ALuca.ppt
01-VHDL-ALuca.ppt
 
Vhd(sistemas digitales ii trabajo)
Vhd(sistemas digitales ii trabajo)Vhd(sistemas digitales ii trabajo)
Vhd(sistemas digitales ii trabajo)
 
Estándares del modelo osi
Estándares del modelo osiEstándares del modelo osi
Estándares del modelo osi
 
Arquitectura del computador trabajo finalizado
Arquitectura del computador trabajo finalizadoArquitectura del computador trabajo finalizado
Arquitectura del computador trabajo finalizado
 

Último (7)

fase 4-Estudio de la geometria analitica[1].pptx
fase 4-Estudio de la geometria analitica[1].pptxfase 4-Estudio de la geometria analitica[1].pptx
fase 4-Estudio de la geometria analitica[1].pptx
 
EduLearnIAappde IAparatodosdisponible.pptx
EduLearnIAappde IAparatodosdisponible.pptxEduLearnIAappde IAparatodosdisponible.pptx
EduLearnIAappde IAparatodosdisponible.pptx
 
Sistemas-de-Numeración-para-Primero-de-Secundaria.doc
Sistemas-de-Numeración-para-Primero-de-Secundaria.docSistemas-de-Numeración-para-Primero-de-Secundaria.doc
Sistemas-de-Numeración-para-Primero-de-Secundaria.doc
 
Presentación Arduino, proyecto colectivo
Presentación Arduino, proyecto colectivoPresentación Arduino, proyecto colectivo
Presentación Arduino, proyecto colectivo
 
PLAN LOS ANIMALES MARINOS.pdf con las actividades a realizar día a días, tota...
PLAN LOS ANIMALES MARINOS.pdf con las actividades a realizar día a días, tota...PLAN LOS ANIMALES MARINOS.pdf con las actividades a realizar día a días, tota...
PLAN LOS ANIMALES MARINOS.pdf con las actividades a realizar día a días, tota...
 
INSTITUTO AUCARA SEMANA NUMERO 7 AGROPECUARIA I
INSTITUTO AUCARA SEMANA NUMERO 7 AGROPECUARIA IINSTITUTO AUCARA SEMANA NUMERO 7 AGROPECUARIA I
INSTITUTO AUCARA SEMANA NUMERO 7 AGROPECUARIA I
 
PANCREATITIS aguda explicacion anatomia todo
PANCREATITIS aguda explicacion anatomia todoPANCREATITIS aguda explicacion anatomia todo
PANCREATITIS aguda explicacion anatomia todo
 

Unidad 4 lenguaje hdl ISC J3 - A JP

  • 1. INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO PUERTO. PRINCIPIOS ELECTRÓNICOS LENGUAJE HDL PAT CHAN JHONATAN CANDIDO NIELS ARANA CUEVAS
  • 2. LENGUAJES DE DESCRIPCIÓN DEL HARDWARE (HDL)  Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad de los diseños.  Se puede hacer una analogía con los que se pueden llamar lenguajes de descripción de software: Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas electrónicos discretos. • Se utilizan en la fase de diseño • Necesidad de Simulador lógico – Herramienta necesaria para reproducir el comportamiento del sistema modelado – Permite la verificación del sistema diseñado. Lenguajes de descripción de hardware (HDLs). Síntesis lógica
  • 3. Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una implementación tecnológica.  El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de realizar las descripciones con HDLs.  No se pueden utilizar todas las construcciones de los lenguajes, y las que están permitidas hay que utilizarlas adecuadamente.  Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas restricciones distintas, si bien, son muy parecidas en cada uno de ellos. Ventajas del uso de HLDs  El lenguaje es independiente de la tecnología:
  • 4. – El mismo modelo puede ser sintetizado en librerías de distintos vendedores. – Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra tecnología es mucho más rápida. – Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo mínimo.  Soportan tres estilos de descripción básicos: – Descripción comportamental (behavioral) – Descripción de flujo de datos (data-flow) – Descripción estructural (estructural)  Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño. La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas, permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con un esfuerzo mucho menor que si se realizase en fases posteriores.  Soporta modelos de tiempos síncronos y asíncronos.  Posibilidad de implementar distintas técnicas de modelado digital (descripciones de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y ecuaciones Booleanas  El lenguaje es público y "not propietary" (especialmente en el caso del VHDL). INCONVENIENTES DEL USO DE HDLS  Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar como nueva metodología.  Necesaria la adquisición de nuevas herramientas: – Simuladores
  • 5. – Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras fases del Diseño.  El uso de estos lenguajes hace que involuntariamente se pierda un poco de control sobre el aspecto físico del diseño, dándole una mayor importancia a la funcionalidad de dicho diseño. LENGUAJES DE DESCRIPCIÓN DE HARDWARE  En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro HDL, el UDI/L se utiliza exclusivamente en Japón.  VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para disponer de una herramienta estándar, independiente para la especificación (modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE lo adopta y estandariza.  Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público y el IEEE lo estandariza en 1995.  SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para describir y simular circuitos digitales. Se publicó en 1999. VHDL: CARACTERÍSTICAS GENERALES VHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de alto nivel de propósito general (ADA en especial): de ellos hereda:  Concepto de tipo de datos, con posibilidad de definir nuevos tipos → facilita la descripción de circuitos con diversos niveles de abstracción.  Sentencias de control de flujos (if, for while). Junto con la característica anterior → potencia para desarrollar algoritmos.  Capacidad de estructurar el código (subprogramas, funciones o procedimiento), permite afrontar algoritmos complejos. MODELO DEL HW Modelo de tiempo: ciclo de simulación
  • 6. ¿Para qué sirve el VHDL?
  • 8. PORTS: Modos de un puerto Una señal que es bidireccional, entrada/salida de la entidad. El problema de la concurrencia del HW
  • 10. CONCLUSION Los circuitos de escala de integración media y alta (MSI y LSI respectivamente) se diseñaron mediante la realización de un prototipo formado por módulos más sencillos y la comprobación de su funcionamiento antes de proceder a la integración. Esta forma de diseño recibe el nombre de abajo a arriba (bottom-up) porque se enlazan diversos módulos para constituir un bloque funcional más complejo. Pero en elcasode los circuitos integrados de complejidad VLSI y superiores no resulta práctica la realización física de un prototipo y por ello es necesario simular y verificar su correcto comportamiento antes de integrarlos.. Así,mediante simulaciónes posible una rápida detección de errores en fases tempranas del diseño, resulta factible la reutilización del mismo para diferentes tecnologías y se pueden utilizar las herramientas de síntesis actuales para obtener rápidamente un esquema lógico o estructural y, en definitiva, una netlist* de entrada para el trazado físico (layout) del ASIC, MCM, etc. o la asignación de recursos (mapping) en el caso de la lógica programable (PLDs y FPGAs).Todo ello, obviamente, incrementa la productividad y la eficacia del diseño.