SlideShare una empresa de Scribd logo
1 de 16
VHDL ORGANIZACIÓN Y 
ARQUITECTURA 
EDWIN AGUILERA TRIANA 
JUAN ANDRES SANCHEZ
VHDL 
VHDL es el acrónimo que representa la combinación 
de VHSIC y HDL, donde VHSIC es el acrónimo de Very 
High Speed Integrated Circuit y HDL es a su vez el acrónimo 
de Hardware Description Language.
VHDL 
Es un lenguaje definido por el IEEE (Institute of Electrical and 
Electronics Engineers) usado por ingenieros para describir 
circuitos digitales. Otros métodos para diseñar circuitos son la 
captura de esquemas y los diagramas de bloques, pero éstos no 
son prácticos en diseños complejos
ORGANIZACIÓN 
 La organización del un programa en VHDL esta formada 
por módulos o unidades de diseño, cada uno de ellos 
compuesto por un conjunto de declaraciones e instrucciones 
que definen, describen, estructuran y evalúan el 
comportamiento de un sistema digital
Existen cinco tipos de unidades de diseño en VHDL: 
 Declaración (entity declaration) 
 Arquitectura(architecture) 
 Configuración (configuration) 
 Declaración del paquete del paquete(package declaration) 
 Cuerpo del paquete cuerpo del paquete (package body). 
Cuando se trabaja en VHDL puede utilizarse tres de los cinco módulos 
pero solo dos de ellos deben utilizarse (entidad y entidad y arquitectura) 
ya que son indispensables para que funcione
ENTIDAD 
 Una entidad(entity) es el bloque elemental de diseño en VHDL, 
Las entidades son todos los elementos electrónicos 
(sumadores, contadores, compuertas, flip-flops, memorias, 
multiplexores, etc.) que forman de manera individual o en conjunto 
un sistema digital.
Descripción a nivel de compuertas. 
Muestra la arquitectura de un sumador completo a nivel de compuertas
Símbolo funcional de compuertas. 
Representa a nivel de sistema indicando tan solo con las entradas (Cin, A, B), 
salidas (SUMA, Cout)
Diagrama a bloques representativo de la entidad. 
Representación mediante una identidad
PUERTOS DE ENTRADA-SALIDA. 
Cada una de las señales de entrada y salida en una entidad son 
referidas como puerto, el cual es similar a una terminal (pin) de un 
símbolo esquemático. Todos los puertos que son declarados deben 
tener un nombre, un modo y un tipo de dato.
Comparador de igualdad
MODOS 
Un modo permite definir la dirección en la cual el dato es transferido a través de un 
puerto. Un modo puede tener uno de cuatro valores 
 Modo in: Se refiere a las señales de entrada a la entidad. 
 Modo out: Indica las señales de salida de la entidad 
 Modo inout. Permite declarar a un puerto de forma bidireccional es decir, de 
entrada/salida; además permite la retroalimentación de señales dentro o fuera de la 
entidad.. 
 Modo buffer. Permite hacer retroalimentaciones internas dentro de la entidad, pero a 
diferencia del modo inout, el puerto declarado se comporta como una terminal de salida
Modos y el curso de las señales
TIPOS DE DATOS 
Los tipos son los valores (datos) que el diseñador establece para los 
puertos de entrada y salida dentro de una entidad esto depende del 
programa que se necesite 
 Bit: este tiene valores de O y 1 lógico 
 Bolean (booleano) que define valores de verdadero o falso 
 Bit vector (vectores de bits) que representa un conjunto de para cada 
variable de entrada o salida. 
 Integer (entero) que representa un número entero
DECLARACIÓN DE 
ENTIDADES 
 La declaración de una entidad consiste en la descripción de las 
entradas y salidas de un circuito de diseño identificado como 
entity(entidad); es decir, la declaración señala las terminales o pines de 
la entrada y salida con que cuenta la entidad de diseño
ARQUITECTURAS 
 Una arquitectura (architecture) se define como la estructura que 
describe el funcionamiento de una entity, de tal forma que permita el 
desarrollo de los procedimientos que se llevarán a cabo con el fin de que 
la entidad cumpla las condiciones de funcionamiento deseadas. 
La gran ventaja que presenta VHDL para definir una arquitectura 
radica en la manera en que pueden describirse los diseños; es decir, 
mediante el algoritmo de programación empleado se puede 
describir desde el nivel de compuertas hasta sistemas complejos.

Más contenido relacionado

La actualidad más candente

Simbolos transductores sensores detectores
Simbolos transductores sensores detectoresSimbolos transductores sensores detectores
Simbolos transductores sensores detectoresPedro Bortot
 
codificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolarcodificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolarthejp
 
Rectificador de media onda
Rectificador de media ondaRectificador de media onda
Rectificador de media ondaTensor
 
Electrónica analógica - Transistores Bipolares y de efecto de campo.
Electrónica analógica - Transistores Bipolares y de efecto de campo.Electrónica analógica - Transistores Bipolares y de efecto de campo.
Electrónica analógica - Transistores Bipolares y de efecto de campo.David A. Baxin López
 
Variables y Direccionamiento en PLCs
Variables y Direccionamiento en PLCsVariables y Direccionamiento en PLCs
Variables y Direccionamiento en PLCsCarlos Pillajo
 
Compuertas Lógicas NOR, XOR, NAND, XNOR
Compuertas Lógicas NOR, XOR, NAND, XNORCompuertas Lógicas NOR, XOR, NAND, XNOR
Compuertas Lógicas NOR, XOR, NAND, XNORCarolina Medina Salazar
 
Circuitos secuenciales ejemplos
Circuitos secuenciales ejemplosCircuitos secuenciales ejemplos
Circuitos secuenciales ejemplosLuis Sanchez
 
Diferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuencialesDiferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuencialesWilfred Garcia Diomeda
 
Sumador de 4 bits
Sumador de 4 bitsSumador de 4 bits
Sumador de 4 bitsAbril Bello
 
Ttl y cmos circuitos digitales
Ttl y cmos circuitos digitales Ttl y cmos circuitos digitales
Ttl y cmos circuitos digitales CarlenisGeraldino
 
Deteccion de fallas en sistemas digitales
Deteccion de fallas en sistemas digitalesDeteccion de fallas en sistemas digitales
Deteccion de fallas en sistemas digitalesBorisAb86
 

La actualidad más candente (20)

Los Flip Flops
Los Flip FlopsLos Flip Flops
Los Flip Flops
 
Mapas de Karnaugh
Mapas de KarnaughMapas de Karnaugh
Mapas de Karnaugh
 
Simbolos transductores sensores detectores
Simbolos transductores sensores detectoresSimbolos transductores sensores detectores
Simbolos transductores sensores detectores
 
codificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolarcodificaciones unipolar, polar, bipolar
codificaciones unipolar, polar, bipolar
 
Registro de desplazamiento
Registro de desplazamientoRegistro de desplazamiento
Registro de desplazamiento
 
Rectificador de media onda
Rectificador de media ondaRectificador de media onda
Rectificador de media onda
 
Electrónica analógica - Transistores Bipolares y de efecto de campo.
Electrónica analógica - Transistores Bipolares y de efecto de campo.Electrónica analógica - Transistores Bipolares y de efecto de campo.
Electrónica analógica - Transistores Bipolares y de efecto de campo.
 
Variables y Direccionamiento en PLCs
Variables y Direccionamiento en PLCsVariables y Direccionamiento en PLCs
Variables y Direccionamiento en PLCs
 
Tema04 leccion10
Tema04 leccion10Tema04 leccion10
Tema04 leccion10
 
Compuertas Lógicas NOR, XOR, NAND, XNOR
Compuertas Lógicas NOR, XOR, NAND, XNORCompuertas Lógicas NOR, XOR, NAND, XNOR
Compuertas Lógicas NOR, XOR, NAND, XNOR
 
Circuitos secuenciales ejemplos
Circuitos secuenciales ejemplosCircuitos secuenciales ejemplos
Circuitos secuenciales ejemplos
 
Diferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuencialesDiferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuenciales
 
Sumador de 4 bits
Sumador de 4 bitsSumador de 4 bits
Sumador de 4 bits
 
Ttl y cmos circuitos digitales
Ttl y cmos circuitos digitales Ttl y cmos circuitos digitales
Ttl y cmos circuitos digitales
 
Proyectos electrónica digital
Proyectos electrónica digitalProyectos electrónica digital
Proyectos electrónica digital
 
Practica 7 Flip Flop
Practica 7 Flip FlopPractica 7 Flip Flop
Practica 7 Flip Flop
 
Señal escalón señlales
Señal escalón señlales Señal escalón señlales
Señal escalón señlales
 
Voltaje de rizado
Voltaje de rizadoVoltaje de rizado
Voltaje de rizado
 
Deteccion de fallas en sistemas digitales
Deteccion de fallas en sistemas digitalesDeteccion de fallas en sistemas digitales
Deteccion de fallas en sistemas digitales
 
Sumador\Restador
Sumador\RestadorSumador\Restador
Sumador\Restador
 

Destacado

Prototipo de Ascensor de 5 pisos
Prototipo de Ascensor de 5 pisosPrototipo de Ascensor de 5 pisos
Prototipo de Ascensor de 5 pisosRodrigo X
 
Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...
Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...
Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...Cesar Vele
 
⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAs
⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAs⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAs
⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAsVictor Asanza
 
Radar
RadarRadar
Radarx
 
RADAR APERTURA SINTÉTICA Principio SAR
RADAR APERTURA SINTÉTICA Principio SARRADAR APERTURA SINTÉTICA Principio SAR
RADAR APERTURA SINTÉTICA Principio SARHeriberto J E Roman
 
Reflexion y Retrodispersión
Reflexion y RetrodispersiónReflexion y Retrodispersión
Reflexion y Retrodispersiónjlherreraescorcia
 
Diseño de un sistema de alarma con lógica programable
Diseño de un sistema de alarma con lógica programableDiseño de un sistema de alarma con lógica programable
Diseño de un sistema de alarma con lógica programableEL ESTAFADOR
 
Presentación e introducción a la electrónica digital
Presentación e introducción a la electrónica digitalPresentación e introducción a la electrónica digital
Presentación e introducción a la electrónica digitalTeodoro Ibarra
 
Ascensor de tres plantes programat amb Scratch for Arduino
Ascensor de tres plantes programat amb Scratch for ArduinoAscensor de tres plantes programat amb Scratch for Arduino
Ascensor de tres plantes programat amb Scratch for ArduinoDaniel Turienzo
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdlRaj Mohan
 

Destacado (20)

Prototipo de Ascensor de 5 pisos
Prototipo de Ascensor de 5 pisosPrototipo de Ascensor de 5 pisos
Prototipo de Ascensor de 5 pisos
 
Introducción al VHDL
Introducción al VHDLIntroducción al VHDL
Introducción al VHDL
 
Curso de vhdl
Curso de vhdl Curso de vhdl
Curso de vhdl
 
Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...
Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...
Aplicación de los fpga’s en radares de vigilancia aérea desde tierra y radare...
 
FPGA.
FPGA. FPGA.
FPGA.
 
⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAs
⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAs⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAs
⭐⭐⭐⭐⭐ INTRODUCCÓN A LOS CPLDs Y FPGAs
 
vhdl
vhdlvhdl
vhdl
 
Radar
RadarRadar
Radar
 
Sd3
Sd3Sd3
Sd3
 
RADAR APERTURA SINTÉTICA Principio SAR
RADAR APERTURA SINTÉTICA Principio SARRADAR APERTURA SINTÉTICA Principio SAR
RADAR APERTURA SINTÉTICA Principio SAR
 
Superficies y Reflexion
Superficies y ReflexionSuperficies y Reflexion
Superficies y Reflexion
 
Reflexion y Retrodispersión
Reflexion y RetrodispersiónReflexion y Retrodispersión
Reflexion y Retrodispersión
 
Aspectos Fisicos
Aspectos FisicosAspectos Fisicos
Aspectos Fisicos
 
Diseño de un sistema de alarma con lógica programable
Diseño de un sistema de alarma con lógica programableDiseño de un sistema de alarma con lógica programable
Diseño de un sistema de alarma con lógica programable
 
Ascensor con Arduino
Ascensor con ArduinoAscensor con Arduino
Ascensor con Arduino
 
Presentación e introducción a la electrónica digital
Presentación e introducción a la electrónica digitalPresentación e introducción a la electrónica digital
Presentación e introducción a la electrónica digital
 
Actuadores
ActuadoresActuadores
Actuadores
 
Ascensor de tres plantes programat amb Scratch for Arduino
Ascensor de tres plantes programat amb Scratch for ArduinoAscensor de tres plantes programat amb Scratch for Arduino
Ascensor de tres plantes programat amb Scratch for Arduino
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdl
 

Similar a VHDL Organización y Arquitectura

Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...SANTIAGO PABLO ALBERTO
 
Conceptos basicos de_vhdl
Conceptos basicos de_vhdlConceptos basicos de_vhdl
Conceptos basicos de_vhdlAngie Cardenas
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Alveiro2
 
Capacidad de la unidad de procesamiento (grecia gonzález 30203532)
Capacidad de la unidad  de procesamiento (grecia gonzález   30203532)Capacidad de la unidad  de procesamiento (grecia gonzález   30203532)
Capacidad de la unidad de procesamiento (grecia gonzález 30203532)GreciaGonzlez9
 
Programacion PLD
Programacion PLDProgramacion PLD
Programacion PLDdavic_exe
 
Tutorial proton ide plus part 1
Tutorial proton ide plus part 1Tutorial proton ide plus part 1
Tutorial proton ide plus part 1dar851112
 
Diapositiva
Diapositiva Diapositiva
Diapositiva yecsi1234
 
Electrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDLElectrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDLSANTIAGO PABLO ALBERTO
 
Info rmatikka jueves
Info rmatikka juevesInfo rmatikka jueves
Info rmatikka juevesMary Luna
 
Grupo 6 Diagrama de Bloques de un computador
Grupo 6 Diagrama de Bloques de un computador Grupo 6 Diagrama de Bloques de un computador
Grupo 6 Diagrama de Bloques de un computador YesseniaRomero11
 

Similar a VHDL Organización y Arquitectura (20)

Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
 
Conceptos basicos de_vhdl
Conceptos basicos de_vhdlConceptos basicos de_vhdl
Conceptos basicos de_vhdl
 
VHDL - Presentacion Brayan.pptx
VHDL - Presentacion Brayan.pptxVHDL - Presentacion Brayan.pptx
VHDL - Presentacion Brayan.pptx
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)
 
Capacidad de la unidad de procesamiento (grecia gonzález 30203532)
Capacidad de la unidad  de procesamiento (grecia gonzález   30203532)Capacidad de la unidad  de procesamiento (grecia gonzález   30203532)
Capacidad de la unidad de procesamiento (grecia gonzález 30203532)
 
Programacion PLD
Programacion PLDProgramacion PLD
Programacion PLD
 
Tutorial proton ide plus part 1
Tutorial proton ide plus part 1Tutorial proton ide plus part 1
Tutorial proton ide plus part 1
 
01 introduccion
01 introduccion01 introduccion
01 introduccion
 
Diapositiva
Diapositiva Diapositiva
Diapositiva
 
7_vhdl.pdf
7_vhdl.pdf7_vhdl.pdf
7_vhdl.pdf
 
Electrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDLElectrónica digital: Lenguaje descripción de hardware VHDL
Electrónica digital: Lenguaje descripción de hardware VHDL
 
Grupo 6
Grupo 6Grupo 6
Grupo 6
 
Grupo 6
Grupo 6Grupo 6
Grupo 6
 
Info rmatikka jueves
Info rmatikka juevesInfo rmatikka jueves
Info rmatikka jueves
 
Info rmatikka jueves
Info rmatikka juevesInfo rmatikka jueves
Info rmatikka jueves
 
Grupo 6
Grupo 6Grupo 6
Grupo 6
 
Grupo6
Grupo6Grupo6
Grupo6
 
Grupo 6
Grupo 6Grupo 6
Grupo 6
 
Grupo 6 Diagrama de Bloques de un computador
Grupo 6 Diagrama de Bloques de un computador Grupo 6 Diagrama de Bloques de un computador
Grupo 6 Diagrama de Bloques de un computador
 
Info rmatikka jueves
Info rmatikka juevesInfo rmatikka jueves
Info rmatikka jueves
 

Último

CLASE DE TECNOLOGIA E INFORMATICA PRIMARIA
CLASE  DE TECNOLOGIA E INFORMATICA PRIMARIACLASE  DE TECNOLOGIA E INFORMATICA PRIMARIA
CLASE DE TECNOLOGIA E INFORMATICA PRIMARIAWilbisVega
 
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdfPARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdfSergioMendoza354770
 
Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024GiovanniJavierHidalg
 
SalmorejoTech 2024 - Spring Boot <3 Testcontainers
SalmorejoTech 2024 - Spring Boot <3 TestcontainersSalmorejoTech 2024 - Spring Boot <3 Testcontainers
SalmorejoTech 2024 - Spring Boot <3 TestcontainersIván López Martín
 
Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...
Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...
Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...AlanCedillo9
 
Redes direccionamiento y subredes ipv4 2024 .pdf
Redes direccionamiento y subredes ipv4 2024 .pdfRedes direccionamiento y subredes ipv4 2024 .pdf
Redes direccionamiento y subredes ipv4 2024 .pdfsoporteupcology
 
La era de la educación digital y sus desafios
La era de la educación digital y sus desafiosLa era de la educación digital y sus desafios
La era de la educación digital y sus desafiosFundación YOD YOD
 
Hernandez_Hernandez_Practica web de la sesion 12.pptx
Hernandez_Hernandez_Practica web de la sesion 12.pptxHernandez_Hernandez_Practica web de la sesion 12.pptx
Hernandez_Hernandez_Practica web de la sesion 12.pptxJOSEMANUELHERNANDEZH11
 
Proyecto integrador. Las TIC en la sociedad S4.pptx
Proyecto integrador. Las TIC en la sociedad S4.pptxProyecto integrador. Las TIC en la sociedad S4.pptx
Proyecto integrador. Las TIC en la sociedad S4.pptx241521559
 
Global Azure Lima 2024 - Integración de Datos con Microsoft Fabric
Global Azure Lima 2024 - Integración de Datos con Microsoft FabricGlobal Azure Lima 2024 - Integración de Datos con Microsoft Fabric
Global Azure Lima 2024 - Integración de Datos con Microsoft FabricKeyla Dolores Méndez
 
POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...
POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...
POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...silviayucra2
 
Plan de aula informatica segundo periodo.docx
Plan de aula informatica segundo periodo.docxPlan de aula informatica segundo periodo.docx
Plan de aula informatica segundo periodo.docxpabonheidy28
 
KELA Presentacion Costa Rica 2024 - evento Protégeles
KELA Presentacion Costa Rica 2024 - evento ProtégelesKELA Presentacion Costa Rica 2024 - evento Protégeles
KELA Presentacion Costa Rica 2024 - evento ProtégelesFundación YOD YOD
 
trabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdftrabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdfIsabellaMontaomurill
 
EPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial UninoveEPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial UninoveFagnerLisboa3
 
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...FacuMeza2
 
International Women's Day Sucre 2024 (IWD)
International Women's Day Sucre 2024 (IWD)International Women's Day Sucre 2024 (IWD)
International Women's Day Sucre 2024 (IWD)GDGSucre
 
guía de registro de slideshare por Brayan Joseph
guía de registro de slideshare por Brayan Josephguía de registro de slideshare por Brayan Joseph
guía de registro de slideshare por Brayan JosephBRAYANJOSEPHPEREZGOM
 
Trabajo Mas Completo De Excel en clase tecnología
Trabajo Mas Completo De Excel en clase tecnologíaTrabajo Mas Completo De Excel en clase tecnología
Trabajo Mas Completo De Excel en clase tecnologíassuserf18419
 

Último (19)

CLASE DE TECNOLOGIA E INFORMATICA PRIMARIA
CLASE  DE TECNOLOGIA E INFORMATICA PRIMARIACLASE  DE TECNOLOGIA E INFORMATICA PRIMARIA
CLASE DE TECNOLOGIA E INFORMATICA PRIMARIA
 
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdfPARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
PARTES DE UN OSCILOSCOPIO ANALOGICO .pdf
 
Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024Cortes-24-de-abril-Tungurahua-3 año 2024
Cortes-24-de-abril-Tungurahua-3 año 2024
 
SalmorejoTech 2024 - Spring Boot <3 Testcontainers
SalmorejoTech 2024 - Spring Boot <3 TestcontainersSalmorejoTech 2024 - Spring Boot <3 Testcontainers
SalmorejoTech 2024 - Spring Boot <3 Testcontainers
 
Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...
Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...
Instrumentación Hoy_ INTERPRETAR EL DIAGRAMA UNIFILAR GENERAL DE UNA PLANTA I...
 
Redes direccionamiento y subredes ipv4 2024 .pdf
Redes direccionamiento y subredes ipv4 2024 .pdfRedes direccionamiento y subredes ipv4 2024 .pdf
Redes direccionamiento y subredes ipv4 2024 .pdf
 
La era de la educación digital y sus desafios
La era de la educación digital y sus desafiosLa era de la educación digital y sus desafios
La era de la educación digital y sus desafios
 
Hernandez_Hernandez_Practica web de la sesion 12.pptx
Hernandez_Hernandez_Practica web de la sesion 12.pptxHernandez_Hernandez_Practica web de la sesion 12.pptx
Hernandez_Hernandez_Practica web de la sesion 12.pptx
 
Proyecto integrador. Las TIC en la sociedad S4.pptx
Proyecto integrador. Las TIC en la sociedad S4.pptxProyecto integrador. Las TIC en la sociedad S4.pptx
Proyecto integrador. Las TIC en la sociedad S4.pptx
 
Global Azure Lima 2024 - Integración de Datos con Microsoft Fabric
Global Azure Lima 2024 - Integración de Datos con Microsoft FabricGlobal Azure Lima 2024 - Integración de Datos con Microsoft Fabric
Global Azure Lima 2024 - Integración de Datos con Microsoft Fabric
 
POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...
POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...
POWER POINT YUCRAElabore una PRESENTACIÓN CORTA sobre el video película: La C...
 
Plan de aula informatica segundo periodo.docx
Plan de aula informatica segundo periodo.docxPlan de aula informatica segundo periodo.docx
Plan de aula informatica segundo periodo.docx
 
KELA Presentacion Costa Rica 2024 - evento Protégeles
KELA Presentacion Costa Rica 2024 - evento ProtégelesKELA Presentacion Costa Rica 2024 - evento Protégeles
KELA Presentacion Costa Rica 2024 - evento Protégeles
 
trabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdftrabajotecologiaisabella-240424003133-8f126965.pdf
trabajotecologiaisabella-240424003133-8f126965.pdf
 
EPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial UninoveEPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial Uninove
 
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
ATAJOS DE WINDOWS. Los diferentes atajos para utilizar en windows y ser más e...
 
International Women's Day Sucre 2024 (IWD)
International Women's Day Sucre 2024 (IWD)International Women's Day Sucre 2024 (IWD)
International Women's Day Sucre 2024 (IWD)
 
guía de registro de slideshare por Brayan Joseph
guía de registro de slideshare por Brayan Josephguía de registro de slideshare por Brayan Joseph
guía de registro de slideshare por Brayan Joseph
 
Trabajo Mas Completo De Excel en clase tecnología
Trabajo Mas Completo De Excel en clase tecnologíaTrabajo Mas Completo De Excel en clase tecnología
Trabajo Mas Completo De Excel en clase tecnología
 

VHDL Organización y Arquitectura

  • 1. VHDL ORGANIZACIÓN Y ARQUITECTURA EDWIN AGUILERA TRIANA JUAN ANDRES SANCHEZ
  • 2. VHDL VHDL es el acrónimo que representa la combinación de VHSIC y HDL, donde VHSIC es el acrónimo de Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de Hardware Description Language.
  • 3. VHDL Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers) usado por ingenieros para describir circuitos digitales. Otros métodos para diseñar circuitos son la captura de esquemas y los diagramas de bloques, pero éstos no son prácticos en diseños complejos
  • 4. ORGANIZACIÓN  La organización del un programa en VHDL esta formada por módulos o unidades de diseño, cada uno de ellos compuesto por un conjunto de declaraciones e instrucciones que definen, describen, estructuran y evalúan el comportamiento de un sistema digital
  • 5. Existen cinco tipos de unidades de diseño en VHDL:  Declaración (entity declaration)  Arquitectura(architecture)  Configuración (configuration)  Declaración del paquete del paquete(package declaration)  Cuerpo del paquete cuerpo del paquete (package body). Cuando se trabaja en VHDL puede utilizarse tres de los cinco módulos pero solo dos de ellos deben utilizarse (entidad y entidad y arquitectura) ya que son indispensables para que funcione
  • 6. ENTIDAD  Una entidad(entity) es el bloque elemental de diseño en VHDL, Las entidades son todos los elementos electrónicos (sumadores, contadores, compuertas, flip-flops, memorias, multiplexores, etc.) que forman de manera individual o en conjunto un sistema digital.
  • 7. Descripción a nivel de compuertas. Muestra la arquitectura de un sumador completo a nivel de compuertas
  • 8. Símbolo funcional de compuertas. Representa a nivel de sistema indicando tan solo con las entradas (Cin, A, B), salidas (SUMA, Cout)
  • 9. Diagrama a bloques representativo de la entidad. Representación mediante una identidad
  • 10. PUERTOS DE ENTRADA-SALIDA. Cada una de las señales de entrada y salida en una entidad son referidas como puerto, el cual es similar a una terminal (pin) de un símbolo esquemático. Todos los puertos que son declarados deben tener un nombre, un modo y un tipo de dato.
  • 12. MODOS Un modo permite definir la dirección en la cual el dato es transferido a través de un puerto. Un modo puede tener uno de cuatro valores  Modo in: Se refiere a las señales de entrada a la entidad.  Modo out: Indica las señales de salida de la entidad  Modo inout. Permite declarar a un puerto de forma bidireccional es decir, de entrada/salida; además permite la retroalimentación de señales dentro o fuera de la entidad..  Modo buffer. Permite hacer retroalimentaciones internas dentro de la entidad, pero a diferencia del modo inout, el puerto declarado se comporta como una terminal de salida
  • 13. Modos y el curso de las señales
  • 14. TIPOS DE DATOS Los tipos son los valores (datos) que el diseñador establece para los puertos de entrada y salida dentro de una entidad esto depende del programa que se necesite  Bit: este tiene valores de O y 1 lógico  Bolean (booleano) que define valores de verdadero o falso  Bit vector (vectores de bits) que representa un conjunto de para cada variable de entrada o salida.  Integer (entero) que representa un número entero
  • 15. DECLARACIÓN DE ENTIDADES  La declaración de una entidad consiste en la descripción de las entradas y salidas de un circuito de diseño identificado como entity(entidad); es decir, la declaración señala las terminales o pines de la entrada y salida con que cuenta la entidad de diseño
  • 16. ARQUITECTURAS  Una arquitectura (architecture) se define como la estructura que describe el funcionamiento de una entity, de tal forma que permita el desarrollo de los procedimientos que se llevarán a cabo con el fin de que la entidad cumpla las condiciones de funcionamiento deseadas. La gran ventaja que presenta VHDL para definir una arquitectura radica en la manera en que pueden describirse los diseños; es decir, mediante el algoritmo de programación empleado se puede describir desde el nivel de compuertas hasta sistemas complejos.