SlideShare una empresa de Scribd logo
PROGRAMACION EN EL LENGUAJE
VHDL
Es un lenguaje mediante el cual se puede
describir analizar y evaluar el comportamiento
de un sistema electrónico digital. Permite la
integración de sistemas digitales en un
dispositivo lógico programable (FPGA, GAL)
ESTRUCTURA DEL PROGRAMA
La estructura esta formada por unidades de
diseño compuesto por un conjunto de
declaraciones e instrucciones que definen,
describen, estructuran, analizan y evalúan el
comportamiento digital.
Existen 5 tipos de unidades de diseño en VHDL
Declaración de entidad.
Arquitectura
Configuración
Declaración del paquete
Cuerpo del paquete
ENTIDAD
Son los elementos electrónicos (puertas,
multiplexores, sumadores, Flip-Flops etc) que
forman de manera individual o en conjunto un
sistema digital.
DECLARACION DE LIBRERIAS
Se declara las funciones y operaciones que se van a usar.
Library ieee,
Que utiliza un paquete std_logic_1164.all el cual contiene las
funciones básicas que se utilizan en VHDL, entre ellas las
puertas lógicas. El acceso a la información se lo hará por
medio de la sentencia use. Ej
Si tenemos un circuito multiplicador X1, X0 y Y1,Y0 producen
una salida Z3, Z2, Z1, Z0. La declaración de la entidad se hace.
library ieee;
use ieee.std_logic_1164.all;
Entity multiplica is
port (X0,X1,Y0,Y1: in std_logic;
Z3,Z2,Z1,Z0: out std_logic);
End multiplica;
ARQUITECTURA
Se define como la estructura que describe el
funcionamiento de una entidad, y permitirá
desarrollar los procedimientos para que la
entidad cumpla con las condiciones de
funcionamiento deseadas.
Describa mediante if-then-else el funcionamiento de la puerta
Or a y b son entradas f1 salida.
1 -- Declaración funcional
2 library ieee;
3 use ieee.std_logic_1164. all;
4 entity com_or is
5 port ( a, b: in std_logic;
6 f1: out std_logic) ;
7 end com_or;
8 architecture funcional of com_or is
9 begin
10 process (a,b) begin
11 if (a = ‘0´ and b = ‘0') then
12 f1 <= ‘0' ;
13 else
14 f1 <= ' 1 ´;
15 end if;
16 end process;
17 end funcional;
Con base a la tabla de verdad y mediante la
declaración when-else describa el funcionamiento de
la puerta And.
Solución
1 --Algoritmo utilizando flujo de datos
2 library ieee;
3 use ieee.std_logic_1164.all;
4 entity com_and is
5 port ( a,b: in std_logic ;
6 f: out std_logic) ;
7 end com_and;
8 architecture compuerta of com_and is
9 begin
10 f <= '1' when (a = '1' and b = '1' ) else ‘0';
11 end compuerta;
Describa mediante ecuaciones booleanas el circuito
mostrado a continuación.
Solución
--Declaración mediante ecuaciones boolenas
library ieee;
use ieee.std_logic_1164.all;
entity ejemplo is
port ( a,b,c,d: in std_logic;
f: out std_logic) ;
end ejemplo;
architecture compuertas of ejemplo is
begin
f < = ( (a or b) xnor (c and d ) ;
end compuertas;
Declaración de entidades
Declaración de entidad de un circuito sumador
Entity sumador is
Port (A,B Cin: in bit;
SUMA, Cout: out bit);
end sumador;
Entidad
Sumador
Cin
A
B
Cout
SUMA
Declaración de entidades
Declaración de entidad de un circuito sumador si A y B fuera de
4 bits
Entity sumador_4bits is
Port (A,B: in bit_vector (3 downto 0);
Cin: in bit;
SUMA: out bit_vector (3 downto 0);
Cout: out bit);
end sumador_4bits;
Entidad
Sumador 4bits
Cin
A[3:0]
B[3:0]
Cout
SUMA [3:0]

Más contenido relacionado

La actualidad más candente

Sesión11 - Paquetes (Oracle)
Sesión11 - Paquetes (Oracle)Sesión11 - Paquetes (Oracle)
Sesión11 - Paquetes (Oracle)
José Toro
 
Sesion09 - Manejo de Excepciones (Oracle)
Sesion09 - Manejo de Excepciones (Oracle)Sesion09 - Manejo de Excepciones (Oracle)
Sesion09 - Manejo de Excepciones (Oracle)
José Toro
 
Capitulo 4 intro
Capitulo 4 introCapitulo 4 intro
Capitulo 4 intro
ABRAHAN HERNANDE
 
Funciones
FuncionesFunciones
Funciones
Fernando Solis
 
Estructura del programa arduino
Estructura del programa arduinoEstructura del programa arduino
Estructura del programa arduino
CARLOS Carand
 
Actividad 3 . funciones en php
Actividad 3 . funciones en phpActividad 3 . funciones en php
Actividad 3 . funciones en php
LeonardoPuerta2
 
Cap I Plsql
Cap I PlsqlCap I Plsql
Cap I Plsql
Martin Coronel
 
Tarea sesion 14
Tarea sesion 14Tarea sesion 14
Tarea sesion 14
Jhon Nizama
 
Operadores
OperadoresOperadores
Operadores
Fernando Solis
 
Elementos
ElementosElementos
Elementos
Fernando Solis
 
Guia para examen java
Guia para examen javaGuia para examen java
Guia para examen javatecno5
 
Indentificacion de funciones
Indentificacion de funcionesIndentificacion de funciones
Indentificacion de funcionesJulian Bohorquez
 
PL SQL Diplomado Oracle
PL SQL Diplomado OraclePL SQL Diplomado Oracle
PL SQL Diplomado Oracle
Uzziel Chaidez
 
Principios de Programacion
Principios de ProgramacionPrincipios de Programacion
Principios de Programacion
Cesar David Fernandez Grueso
 
Funciones
FuncionesFunciones
Funciones
Fernando Solis
 
Javadoc
JavadocJavadoc
PL/SQL
PL/SQLPL/SQL

La actualidad más candente (19)

Sesión11 - Paquetes (Oracle)
Sesión11 - Paquetes (Oracle)Sesión11 - Paquetes (Oracle)
Sesión11 - Paquetes (Oracle)
 
Sesion09 - Manejo de Excepciones (Oracle)
Sesion09 - Manejo de Excepciones (Oracle)Sesion09 - Manejo de Excepciones (Oracle)
Sesion09 - Manejo de Excepciones (Oracle)
 
Capitulo 4 intro
Capitulo 4 introCapitulo 4 intro
Capitulo 4 intro
 
Sql
SqlSql
Sql
 
Funciones
FuncionesFunciones
Funciones
 
Estructura del programa arduino
Estructura del programa arduinoEstructura del programa arduino
Estructura del programa arduino
 
Actividad 3 . funciones en php
Actividad 3 . funciones en phpActividad 3 . funciones en php
Actividad 3 . funciones en php
 
Cap I Plsql
Cap I PlsqlCap I Plsql
Cap I Plsql
 
Tarea sesion 14
Tarea sesion 14Tarea sesion 14
Tarea sesion 14
 
Operadores
OperadoresOperadores
Operadores
 
Elementos
ElementosElementos
Elementos
 
Guia para examen java
Guia para examen javaGuia para examen java
Guia para examen java
 
Indentificacion de funciones
Indentificacion de funcionesIndentificacion de funciones
Indentificacion de funciones
 
PLC: Lógica de escalera
PLC: Lógica de escaleraPLC: Lógica de escalera
PLC: Lógica de escalera
 
PL SQL Diplomado Oracle
PL SQL Diplomado OraclePL SQL Diplomado Oracle
PL SQL Diplomado Oracle
 
Principios de Programacion
Principios de ProgramacionPrincipios de Programacion
Principios de Programacion
 
Funciones
FuncionesFunciones
Funciones
 
Javadoc
JavadocJavadoc
Javadoc
 
PL/SQL
PL/SQLPL/SQL
PL/SQL
 

Destacado

Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112frankj1
 
Masajes a-bebes
Masajes a-bebesMasajes a-bebes
Masajes a-bebes
krysthye
 
Medios de transportes 22
Medios de transportes 22Medios de transportes 22
Medios de transportes 22yoani22
 
Katalog Luftfilter-Vorabscheider
Katalog Luftfilter-VorabscheiderKatalog Luftfilter-Vorabscheider
Katalog Luftfilter-Vorabscheider
René Jörg
 
Planificacion deibis carpintero
Planificacion deibis carpinteroPlanificacion deibis carpintero
Planificacion deibis carpintero
DEIBISCARP
 
Vmes yacht engineering services
Vmes yacht engineering servicesVmes yacht engineering services
Vmes yacht engineering services
Andrew Nguyen
 
Đầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt NamĐầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt Nam
Khiem Ly
 
Futurebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook CommerceFuturebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook CommerceFuturebiz
 
China
ChinaChina
moving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in librariesmoving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in libraries
char booth
 
Holiday destinations
Holiday destinationsHoliday destinations
Holiday destinations
Alicia Garcia
 
POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017
MIUR
 
2 preprocesado
2 preprocesado2 preprocesado
2 preprocesado
Alejandro Aguirre
 
Tramites observados sura
Tramites observados suraTramites observados sura
Tramites observados sura
Diego Gebil
 
Neo Biotech Implant System Catalog
Neo Biotech Implant System CatalogNeo Biotech Implant System Catalog
Neo Biotech Implant System Catalog
1st Choice Advanced & Innovative Dentistry
 
Nhgcat2011 12 updateopt
Nhgcat2011 12 updateoptNhgcat2011 12 updateopt
Nhgcat2011 12 updateoptlenloffler
 

Destacado (20)

(Digital) flujo de diseño y conceptos basicos
(Digital) flujo de diseño y conceptos basicos(Digital) flujo de diseño y conceptos basicos
(Digital) flujo de diseño y conceptos basicos
 
Sd3
Sd3Sd3
Sd3
 
Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112Control Temperatura Para Cautin 112
Control Temperatura Para Cautin 112
 
Rae saul final
Rae saul finalRae saul final
Rae saul final
 
Masajes a-bebes
Masajes a-bebesMasajes a-bebes
Masajes a-bebes
 
Medios de transportes 22
Medios de transportes 22Medios de transportes 22
Medios de transportes 22
 
Katalog Luftfilter-Vorabscheider
Katalog Luftfilter-VorabscheiderKatalog Luftfilter-Vorabscheider
Katalog Luftfilter-Vorabscheider
 
Planificacion deibis carpintero
Planificacion deibis carpinteroPlanificacion deibis carpintero
Planificacion deibis carpintero
 
Vmes yacht engineering services
Vmes yacht engineering servicesVmes yacht engineering services
Vmes yacht engineering services
 
Đầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt NamĐầu tư với Enchant World Inc Việt Nam
Đầu tư với Enchant World Inc Việt Nam
 
Futurebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook CommerceFuturebiz Workshop Facebook Commerce
Futurebiz Workshop Facebook Commerce
 
China
ChinaChina
China
 
moving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in librariesmoving communication forward: internet voice and video in libraries
moving communication forward: internet voice and video in libraries
 
Ecoverde ingles-1
Ecoverde ingles-1Ecoverde ingles-1
Ecoverde ingles-1
 
Holiday destinations
Holiday destinationsHoliday destinations
Holiday destinations
 
POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017POF ITET "G.T. Lampedusa" 2016-2017
POF ITET "G.T. Lampedusa" 2016-2017
 
2 preprocesado
2 preprocesado2 preprocesado
2 preprocesado
 
Tramites observados sura
Tramites observados suraTramites observados sura
Tramites observados sura
 
Neo Biotech Implant System Catalog
Neo Biotech Implant System CatalogNeo Biotech Implant System Catalog
Neo Biotech Implant System Catalog
 
Nhgcat2011 12 updateopt
Nhgcat2011 12 updateoptNhgcat2011 12 updateopt
Nhgcat2011 12 updateopt
 

Similar a Presentación1vhdl

Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
SANTIAGO PABLO ALBERTO
 
Informe tecnico u4 final
Informe tecnico u4 finalInforme tecnico u4 final
Informe tecnico u4 final
Irving Che
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
Ever Omar Nolasco
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)
Alveiro2
 
Xilinx aprender abrirlo
Xilinx aprender abrirloXilinx aprender abrirlo
Xilinx aprender abrirloDuvan Rincon
 
PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3E
SANTIAGO PABLO ALBERTO
 
Programacion PLD
Programacion PLDProgramacion PLD
Programacion PLD
davic_exe
 
Manual basico arduino
Manual basico arduinoManual basico arduino
Manual basico arduino
Ketoc2k3
 
Arduino: programación básica de Arduino
Arduino: programación básica de ArduinoArduino: programación básica de Arduino
Arduino: programación básica de Arduino
SANTIAGO PABLO ALBERTO
 
Manual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadorasManual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadorasDianichiiz VaLdiivia
 
Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2
SANTIAGO PABLO ALBERTO
 
Presentacion power point digitales
Presentacion power point digitalesPresentacion power point digitales
Presentacion power point digitales
cyber
 
Unidad 4
Unidad 4Unidad 4
Unidad 4
Dragneel Oz
 
2 curso de pic (saber electronica)
2 curso de pic (saber electronica)2 curso de pic (saber electronica)
2 curso de pic (saber electronica)
Geovanny Ruiz
 
Curso de-picnn
Curso de-picnnCurso de-picnn
Curso de-picnn
Henry Solórzano Martel
 
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
SANTIAGO PABLO ALBERTO
 
REGISTRO DE BANDERAS
REGISTRO DE BANDERASREGISTRO DE BANDERAS
REGISTRO DE BANDERAS
Fabian Rojas
 

Similar a Presentación1vhdl (20)

Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
Electrónica digital: VHDL el arte de programar sistemas digitales por David G...
 
Informe tecnico u4 final
Informe tecnico u4 finalInforme tecnico u4 final
Informe tecnico u4 final
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)
 
Xilinx aprender abrirlo
Xilinx aprender abrirloXilinx aprender abrirlo
Xilinx aprender abrirlo
 
7_vhdl.pdf
7_vhdl.pdf7_vhdl.pdf
7_vhdl.pdf
 
PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...PLC y Electroneumática: automatización industrial: control Electromecánico y ...
PLC y Electroneumática: automatización industrial: control Electromecánico y ...
 
Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3E
 
Programacion PLD
Programacion PLDProgramacion PLD
Programacion PLD
 
Manual basico arduino
Manual basico arduinoManual basico arduino
Manual basico arduino
 
Plc1
Plc1Plc1
Plc1
 
Arduino: programación básica de Arduino
Arduino: programación básica de ArduinoArduino: programación básica de Arduino
Arduino: programación básica de Arduino
 
Manual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadorasManual de prácticas arquitectura de computadoras
Manual de prácticas arquitectura de computadoras
 
Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2Electrónica digital: lógica programable VHDL parte 2
Electrónica digital: lógica programable VHDL parte 2
 
Presentacion power point digitales
Presentacion power point digitalesPresentacion power point digitales
Presentacion power point digitales
 
Unidad 4
Unidad 4Unidad 4
Unidad 4
 
2 curso de pic (saber electronica)
2 curso de pic (saber electronica)2 curso de pic (saber electronica)
2 curso de pic (saber electronica)
 
Curso de-picnn
Curso de-picnnCurso de-picnn
Curso de-picnn
 
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
Microcontroladores: Curso de microcontroladores PIC para estudiantes y aficio...
 
REGISTRO DE BANDERAS
REGISTRO DE BANDERASREGISTRO DE BANDERAS
REGISTRO DE BANDERAS
 

Último

Fase 3; Estudio de la Geometría Analítica
Fase 3; Estudio de la Geometría AnalíticaFase 3; Estudio de la Geometría Analítica
Fase 3; Estudio de la Geometría Analítica
YasneidyGonzalez
 
Presentación Revistas y Periódicos Digitales
Presentación Revistas y Periódicos DigitalesPresentación Revistas y Periódicos Digitales
Presentación Revistas y Periódicos Digitales
nievesjiesc03
 
Fase 2, Pensamiento variacional y trigonometrico
Fase 2, Pensamiento variacional y trigonometricoFase 2, Pensamiento variacional y trigonometrico
Fase 2, Pensamiento variacional y trigonometrico
YasneidyGonzalez
 
Asistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdf
Asistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdfAsistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdf
Asistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdf
Demetrio Ccesa Rayme
 
Proceso de admisiones en escuelas infantiles de Pamplona
Proceso de admisiones en escuelas infantiles de PamplonaProceso de admisiones en escuelas infantiles de Pamplona
Proceso de admisiones en escuelas infantiles de Pamplona
Edurne Navarro Bueno
 
ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...
ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...
ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...
JAVIER SOLIS NOYOLA
 
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdfFORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
El Fortí
 
3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...
3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...
3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...
rosannatasaycoyactay
 
Fase 1, Lenguaje algebraico y pensamiento funcional
Fase 1, Lenguaje algebraico y pensamiento funcionalFase 1, Lenguaje algebraico y pensamiento funcional
Fase 1, Lenguaje algebraico y pensamiento funcional
YasneidyGonzalez
 
Junio 2024 Fotocopiables Ediba actividades
Junio 2024 Fotocopiables Ediba actividadesJunio 2024 Fotocopiables Ediba actividades
Junio 2024 Fotocopiables Ediba actividades
cintiat3400
 
1º GRADO CONCLUSIONES DESCRIPTIVAS PRIMARIA.docx
1º GRADO CONCLUSIONES DESCRIPTIVAS  PRIMARIA.docx1º GRADO CONCLUSIONES DESCRIPTIVAS  PRIMARIA.docx
1º GRADO CONCLUSIONES DESCRIPTIVAS PRIMARIA.docx
FelixCamachoGuzman
 
UNIDAD DE APRENDIZAJE DEL MES Junio 2024
UNIDAD DE APRENDIZAJE DEL MES  Junio 2024UNIDAD DE APRENDIZAJE DEL MES  Junio 2024
UNIDAD DE APRENDIZAJE DEL MES Junio 2024
EdwardYumbato1
 
Mapa_Conceptual de los fundamentos de la evaluación educativa
Mapa_Conceptual de los fundamentos de la evaluación educativaMapa_Conceptual de los fundamentos de la evaluación educativa
Mapa_Conceptual de los fundamentos de la evaluación educativa
TatianaVanessaAltami
 
PPT: El fundamento del gobierno de Dios.
PPT: El fundamento del gobierno de Dios.PPT: El fundamento del gobierno de Dios.
PPT: El fundamento del gobierno de Dios.
https://gramadal.wordpress.com/
 
El fundamento del gobierno de Dios. El amor
El fundamento del gobierno de Dios. El amorEl fundamento del gobierno de Dios. El amor
El fundamento del gobierno de Dios. El amor
Alejandrino Halire Ccahuana
 
CONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIA
CONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIACONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIA
CONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIA
BetzabePecheSalcedo1
 
Friedrich Nietzsche. Presentación de 2 de Bachillerato.
Friedrich Nietzsche. Presentación de 2 de Bachillerato.Friedrich Nietzsche. Presentación de 2 de Bachillerato.
Friedrich Nietzsche. Presentación de 2 de Bachillerato.
pablomarin116
 
ACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLA
ACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLAACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLA
ACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLA
JAVIER SOLIS NOYOLA
 
True Mother's Speech at THE PENTECOST SERVICE..pdf
True Mother's Speech at THE PENTECOST SERVICE..pdfTrue Mother's Speech at THE PENTECOST SERVICE..pdf
True Mother's Speech at THE PENTECOST SERVICE..pdf
Mercedes Gonzalez
 
Un libro sin recetas, para la maestra y el maestro Fase 3.pdf
Un libro sin recetas, para la maestra y el maestro Fase 3.pdfUn libro sin recetas, para la maestra y el maestro Fase 3.pdf
Un libro sin recetas, para la maestra y el maestro Fase 3.pdf
sandradianelly
 

Último (20)

Fase 3; Estudio de la Geometría Analítica
Fase 3; Estudio de la Geometría AnalíticaFase 3; Estudio de la Geometría Analítica
Fase 3; Estudio de la Geometría Analítica
 
Presentación Revistas y Periódicos Digitales
Presentación Revistas y Periódicos DigitalesPresentación Revistas y Periódicos Digitales
Presentación Revistas y Periódicos Digitales
 
Fase 2, Pensamiento variacional y trigonometrico
Fase 2, Pensamiento variacional y trigonometricoFase 2, Pensamiento variacional y trigonometrico
Fase 2, Pensamiento variacional y trigonometrico
 
Asistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdf
Asistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdfAsistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdf
Asistencia Tecnica Cultura Escolar Inclusiva Ccesa007.pdf
 
Proceso de admisiones en escuelas infantiles de Pamplona
Proceso de admisiones en escuelas infantiles de PamplonaProceso de admisiones en escuelas infantiles de Pamplona
Proceso de admisiones en escuelas infantiles de Pamplona
 
ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...
ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...
ROMPECABEZAS DE ECUACIONES DE PRIMER GRADO OLIMPIADA DE PARÍS 2024. Por JAVIE...
 
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdfFORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
 
3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...
3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...
3° UNIDAD 3 CUIDAMOS EL AMBIENTE RECICLANDO EN FAMILIA 933623393 PROF YESSENI...
 
Fase 1, Lenguaje algebraico y pensamiento funcional
Fase 1, Lenguaje algebraico y pensamiento funcionalFase 1, Lenguaje algebraico y pensamiento funcional
Fase 1, Lenguaje algebraico y pensamiento funcional
 
Junio 2024 Fotocopiables Ediba actividades
Junio 2024 Fotocopiables Ediba actividadesJunio 2024 Fotocopiables Ediba actividades
Junio 2024 Fotocopiables Ediba actividades
 
1º GRADO CONCLUSIONES DESCRIPTIVAS PRIMARIA.docx
1º GRADO CONCLUSIONES DESCRIPTIVAS  PRIMARIA.docx1º GRADO CONCLUSIONES DESCRIPTIVAS  PRIMARIA.docx
1º GRADO CONCLUSIONES DESCRIPTIVAS PRIMARIA.docx
 
UNIDAD DE APRENDIZAJE DEL MES Junio 2024
UNIDAD DE APRENDIZAJE DEL MES  Junio 2024UNIDAD DE APRENDIZAJE DEL MES  Junio 2024
UNIDAD DE APRENDIZAJE DEL MES Junio 2024
 
Mapa_Conceptual de los fundamentos de la evaluación educativa
Mapa_Conceptual de los fundamentos de la evaluación educativaMapa_Conceptual de los fundamentos de la evaluación educativa
Mapa_Conceptual de los fundamentos de la evaluación educativa
 
PPT: El fundamento del gobierno de Dios.
PPT: El fundamento del gobierno de Dios.PPT: El fundamento del gobierno de Dios.
PPT: El fundamento del gobierno de Dios.
 
El fundamento del gobierno de Dios. El amor
El fundamento del gobierno de Dios. El amorEl fundamento del gobierno de Dios. El amor
El fundamento del gobierno de Dios. El amor
 
CONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIA
CONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIACONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIA
CONCLUSIONES-DESCRIPTIVAS NIVEL PRIMARIA
 
Friedrich Nietzsche. Presentación de 2 de Bachillerato.
Friedrich Nietzsche. Presentación de 2 de Bachillerato.Friedrich Nietzsche. Presentación de 2 de Bachillerato.
Friedrich Nietzsche. Presentación de 2 de Bachillerato.
 
ACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLA
ACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLAACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLA
ACERTIJO DE CARRERA OLÍMPICA DE SUMA DE LABERINTOS. Por JAVIER SOLIS NOYOLA
 
True Mother's Speech at THE PENTECOST SERVICE..pdf
True Mother's Speech at THE PENTECOST SERVICE..pdfTrue Mother's Speech at THE PENTECOST SERVICE..pdf
True Mother's Speech at THE PENTECOST SERVICE..pdf
 
Un libro sin recetas, para la maestra y el maestro Fase 3.pdf
Un libro sin recetas, para la maestra y el maestro Fase 3.pdfUn libro sin recetas, para la maestra y el maestro Fase 3.pdf
Un libro sin recetas, para la maestra y el maestro Fase 3.pdf
 

Presentación1vhdl

  • 1. PROGRAMACION EN EL LENGUAJE VHDL Es un lenguaje mediante el cual se puede describir analizar y evaluar el comportamiento de un sistema electrónico digital. Permite la integración de sistemas digitales en un dispositivo lógico programable (FPGA, GAL)
  • 2. ESTRUCTURA DEL PROGRAMA La estructura esta formada por unidades de diseño compuesto por un conjunto de declaraciones e instrucciones que definen, describen, estructuran, analizan y evalúan el comportamiento digital. Existen 5 tipos de unidades de diseño en VHDL Declaración de entidad. Arquitectura Configuración Declaración del paquete Cuerpo del paquete
  • 3. ENTIDAD Son los elementos electrónicos (puertas, multiplexores, sumadores, Flip-Flops etc) que forman de manera individual o en conjunto un sistema digital.
  • 4. DECLARACION DE LIBRERIAS Se declara las funciones y operaciones que se van a usar. Library ieee, Que utiliza un paquete std_logic_1164.all el cual contiene las funciones básicas que se utilizan en VHDL, entre ellas las puertas lógicas. El acceso a la información se lo hará por medio de la sentencia use. Ej Si tenemos un circuito multiplicador X1, X0 y Y1,Y0 producen una salida Z3, Z2, Z1, Z0. La declaración de la entidad se hace. library ieee; use ieee.std_logic_1164.all; Entity multiplica is port (X0,X1,Y0,Y1: in std_logic; Z3,Z2,Z1,Z0: out std_logic); End multiplica;
  • 5. ARQUITECTURA Se define como la estructura que describe el funcionamiento de una entidad, y permitirá desarrollar los procedimientos para que la entidad cumpla con las condiciones de funcionamiento deseadas.
  • 6. Describa mediante if-then-else el funcionamiento de la puerta Or a y b son entradas f1 salida. 1 -- Declaración funcional 2 library ieee; 3 use ieee.std_logic_1164. all; 4 entity com_or is 5 port ( a, b: in std_logic; 6 f1: out std_logic) ; 7 end com_or; 8 architecture funcional of com_or is 9 begin 10 process (a,b) begin 11 if (a = ‘0´ and b = ‘0') then 12 f1 <= ‘0' ; 13 else 14 f1 <= ' 1 ´; 15 end if; 16 end process; 17 end funcional;
  • 7. Con base a la tabla de verdad y mediante la declaración when-else describa el funcionamiento de la puerta And. Solución 1 --Algoritmo utilizando flujo de datos 2 library ieee; 3 use ieee.std_logic_1164.all; 4 entity com_and is 5 port ( a,b: in std_logic ; 6 f: out std_logic) ; 7 end com_and; 8 architecture compuerta of com_and is 9 begin 10 f <= '1' when (a = '1' and b = '1' ) else ‘0'; 11 end compuerta;
  • 8. Describa mediante ecuaciones booleanas el circuito mostrado a continuación. Solución --Declaración mediante ecuaciones boolenas library ieee; use ieee.std_logic_1164.all; entity ejemplo is port ( a,b,c,d: in std_logic; f: out std_logic) ; end ejemplo; architecture compuertas of ejemplo is begin f < = ( (a or b) xnor (c and d ) ; end compuertas;
  • 9.
  • 10.
  • 11. Declaración de entidades Declaración de entidad de un circuito sumador Entity sumador is Port (A,B Cin: in bit; SUMA, Cout: out bit); end sumador; Entidad Sumador Cin A B Cout SUMA
  • 12. Declaración de entidades Declaración de entidad de un circuito sumador si A y B fuera de 4 bits Entity sumador_4bits is Port (A,B: in bit_vector (3 downto 0); Cin: in bit; SUMA: out bit_vector (3 downto 0); Cout: out bit); end sumador_4bits; Entidad Sumador 4bits Cin A[3:0] B[3:0] Cout SUMA [3:0]