SlideShare una empresa de Scribd logo
SEP

DGEST
INSTITUTO

TECNOLÓGICO

SNEST

DE

MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

Diseño Digital con VHDL
Equipo:

Alumno(s):

Núm. de control:

Mario Arturo Cruz Colunga

11260077

Miguel Angel Fierros Peña

11260081

Hermenegildo Martínez de la Cruz

11260095

Jorge Alejandro Reyes Torres

11260108

H. MATAMOROS, TAM.

17 de septiembre del 2013
Practica 3
Objetivo:
Implementar un decodificador bcd a 7 segmentos utilizando vhdl
Marco teórico:
Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en
un código utilizado por los display de 7 segmentos para mostrar el
númerocorrespondientea la combinación de entrada.

Material:
Laptop
Kit spartan3e
Software aldec HDL, xilinx ISE, adept.

Procedimiento:
Se crea nuevo proyecto en aldec HDL
Se escribe el código VHDL en el nuevo proyecto
Código VHDL del decodificador BCD a 7 segmentos
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity bcd7seg is
port(
A: in STD_LOGIC_VECTOR(3 downto 0);
ANN: out bit;
G: out STD_LOGIC_VECTOR(6 downto 0)
);
end bcd7seg;

architecture bcd7seg of bcd7seg is
begin
process(A)
begin
ANN<='0';
case A is
when "0000" =>G<="1000000";
when "0001" =>G<="1111001";
when "0010" =>G<="0100100";
when "0011" =>G<="0110000";
when "0100" =>G<="0011001";
when "0101" =>G<="0010010";
when "0110" =>G<="0000010";
when "0111" =>G<="1111000";
when "1000" =>G<="0000000";
when "1001" =>G<="0010000";
whenothers =>G<="1111111";
end case;
endprocess;
end
bcd7seg;

Observaciones y conclusiones:
En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al
poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para
que los números aparecieran correctamente.
Reporte vhdl3

Más contenido relacionado

Destacado

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFTpuridiplomaticgreensresale
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiDavid
 
Les: lupus eritematoso
Les: lupus eritematosoLes: lupus eritematoso
Les: lupus eritematoso
jorge91
 
Amor
AmorAmor
Amor
David
 
virus informatica
virus informaticavirus informatica
virus informatica
rochyb
 
LINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDES
LinzTourismus
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5Brigitte Petzoldt
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012Jorge Llosa
 
Administración
AdministraciónAdministración
Administraciónjowell_29
 
Los tejidos
Los tejidosLos tejidos
Los tejidosDavid
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Dominik Schürmann
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMAJorge Llosa
 
Imuptd 120201 webinar
Imuptd 120201 webinarImuptd 120201 webinar
Imuptd 120201 webinar
immer-uptodate
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorLOC Place
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02garayfannycecilia
 
Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014
Sabine Stoessel
 

Destacado (20)

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio Uzcategui
 
Les: lupus eritematoso
Les: lupus eritematosoLes: lupus eritematoso
Les: lupus eritematoso
 
Amor
AmorAmor
Amor
 
Wirlebenac final
Wirlebenac finalWirlebenac final
Wirlebenac final
 
virus informatica
virus informaticavirus informatica
virus informatica
 
Materiales textiles
Materiales textilesMateriales textiles
Materiales textiles
 
LINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDES
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5
 
YA SOY VIEJO
YA SOY VIEJOYA SOY VIEJO
YA SOY VIEJO
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012
 
Administración
AdministraciónAdministración
Administración
 
Los tejidos
Los tejidosLos tejidos
Los tejidos
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMA
 
Imuptd 120201 webinar
Imuptd 120201 webinarImuptd 120201 webinar
Imuptd 120201 webinar
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate Director
 
Noticiero
NoticieroNoticiero
Noticiero
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
 
Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014
 

Similar a Reporte vhdl3

Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_
Keny Hans
 
Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseronnyranv
 
Dimmer digital con Arduino
Dimmer digital con ArduinoDimmer digital con Arduino
Dimmer digital con Arduino
Santiago Sarmiento
 
Netduino
NetduinoNetduino
Netduino
David Matias
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLDAdan Aguirre
 
Proyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaProyecto arduino medidor de temperatura
Proyecto arduino medidor de temperatura
Angel Raygoza Trejo
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200E
Rafael Garcia
 
Informe de proyecto final de control discreto
Informe de proyecto final de control discretoInforme de proyecto final de control discreto
Informe de proyecto final de control discreto
Samuel Guiza Jerez
 
Reporte vhd11
Reporte vhd11Reporte vhd11
Reporte vhd11
Miguel Angel Peña
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Freddy Cuasapaz
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado
SANTIAGO PABLO ALBERTO
 
Proyecto de arduino
Proyecto de arduinoProyecto de arduino
Proyecto de arduino
Brenn Cabreera
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
Ever Omar Nolasco
 
3.4 matriz de le ds
3.4 matriz de le ds3.4 matriz de le ds
3.4 matriz de le ds
SNPP
 
Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales
SANTIAGO PABLO ALBERTO
 
Iot (3)
Iot (3)Iot (3)
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
SANTIAGO PABLO ALBERTO
 

Similar a Reporte vhdl3 (20)

Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_
 
Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant jose
 
Dimmer digital con Arduino
Dimmer digital con ArduinoDimmer digital con Arduino
Dimmer digital con Arduino
 
Dimmer- Digital
Dimmer- Digital Dimmer- Digital
Dimmer- Digital
 
Netduino
NetduinoNetduino
Netduino
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLD
 
Proyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaProyecto arduino medidor de temperatura
Proyecto arduino medidor de temperatura
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200E
 
Informe de proyecto final de control discreto
Informe de proyecto final de control discretoInforme de proyecto final de control discreto
Informe de proyecto final de control discreto
 
Reporte vhd11
Reporte vhd11Reporte vhd11
Reporte vhd11
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado
 
Proyecto de arduino
Proyecto de arduinoProyecto de arduino
Proyecto de arduino
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
3.4 matriz de le ds
3.4 matriz de le ds3.4 matriz de le ds
3.4 matriz de le ds
 
Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales
 
Iot (3)
Iot (3)Iot (3)
Iot (3)
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
 

Más de Miguel Angel Peña

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)
Miguel Angel Peña
 
Reporte vhdl8
Reporte vhdl8Reporte vhdl8
Reporte vhdl8
Miguel Angel Peña
 
Reporte vhdl7
Reporte vhdl7Reporte vhdl7
Reporte vhdl7
Miguel Angel Peña
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
Miguel Angel Peña
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
Miguel Angel Peña
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
Miguel Angel Peña
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
Miguel Angel Peña
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
Miguel Angel Peña
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
Miguel Angel Peña
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Miguel Angel Peña
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
Miguel Angel Peña
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
Miguel Angel Peña
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serieMiguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaMiguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Miguel Angel Peña
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Miguel Angel Peña
 

Más de Miguel Angel Peña (20)

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)
 
Reporte vhdl8
Reporte vhdl8Reporte vhdl8
Reporte vhdl8
 
Reporte vhdl7
Reporte vhdl7Reporte vhdl7
Reporte vhdl7
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)
 
Practicca i v
Practicca i vPracticca i v
Practicca i v
 

Último

Elites municipales y propiedades rurales: algunos ejemplos en territorio vascón
Elites municipales y propiedades rurales: algunos ejemplos en territorio vascónElites municipales y propiedades rurales: algunos ejemplos en territorio vascón
Elites municipales y propiedades rurales: algunos ejemplos en territorio vascón
Javier Andreu
 
teorema de pitagorasparalaeducacion.pptx
teorema de pitagorasparalaeducacion.pptxteorema de pitagorasparalaeducacion.pptx
teorema de pitagorasparalaeducacion.pptx
ElzebirQuiroga
 
Las Tecnologias Digitales en los Aprendizajesdel Siglo XXI UNESCO Ccesa007.pdf
Las Tecnologias Digitales en los Aprendizajesdel Siglo XXI  UNESCO Ccesa007.pdfLas Tecnologias Digitales en los Aprendizajesdel Siglo XXI  UNESCO Ccesa007.pdf
Las Tecnologias Digitales en los Aprendizajesdel Siglo XXI UNESCO Ccesa007.pdf
Demetrio Ccesa Rayme
 
INFORME MINEDU DEL PRIMER SIMULACRO 2024.pdf
INFORME MINEDU DEL PRIMER SIMULACRO 2024.pdfINFORME MINEDU DEL PRIMER SIMULACRO 2024.pdf
INFORME MINEDU DEL PRIMER SIMULACRO 2024.pdf
Alejandrogarciapanta
 
Sesión: El espiritismo desenmascarado.pdf
Sesión: El espiritismo desenmascarado.pdfSesión: El espiritismo desenmascarado.pdf
Sesión: El espiritismo desenmascarado.pdf
https://gramadal.wordpress.com/
 
El Liberalismo económico en la sociedad y en el mundo
El Liberalismo económico en la sociedad y en el mundoEl Liberalismo económico en la sociedad y en el mundo
El Liberalismo económico en la sociedad y en el mundo
SandraBenitez52
 
Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.
Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.
Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.
20minutos
 
EVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptx
EVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptxEVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptx
EVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptx
Victor Elizalde P
 
Biografía de Gregor Mendel y sus 3 leyes.pptx
Biografía de Gregor Mendel y sus 3 leyes.pptxBiografía de Gregor Mendel y sus 3 leyes.pptx
Biografía de Gregor Mendel y sus 3 leyes.pptx
ar5498718
 
UNA VISITA A SAN PEDRO EN EL VATICANO.pdf
UNA VISITA A SAN PEDRO EN EL VATICANO.pdfUNA VISITA A SAN PEDRO EN EL VATICANO.pdf
UNA VISITA A SAN PEDRO EN EL VATICANO.pdf
Joan Ribes Gallén
 
Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...
Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...
Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...
María Sánchez González (@cibermarikiya)
 
665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf
665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf
665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf
valerytorresmendizab
 
Examen Lengua y Literatura EVAU Andalucía.pdf
Examen Lengua y Literatura EVAU Andalucía.pdfExamen Lengua y Literatura EVAU Andalucía.pdf
Examen Lengua y Literatura EVAU Andalucía.pdf
20minutos
 
Lecciones 10 Esc. Sabática. El espiritismo desenmascarado docx
Lecciones 10 Esc. Sabática. El espiritismo desenmascarado docxLecciones 10 Esc. Sabática. El espiritismo desenmascarado docx
Lecciones 10 Esc. Sabática. El espiritismo desenmascarado docx
Alejandrino Halire Ccahuana
 
SEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptx
SEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptxSEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptx
SEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptx
Osiris Urbano
 
Guia para Docentes como usar ChatGPT Mineduc Ccesa007.pdf
Guia para Docentes como usar ChatGPT  Mineduc Ccesa007.pdfGuia para Docentes como usar ChatGPT  Mineduc Ccesa007.pdf
Guia para Docentes como usar ChatGPT Mineduc Ccesa007.pdf
Demetrio Ccesa Rayme
 
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdfFORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
El Fortí
 
El ensayo mexicano en el siglo XX LITERATURA
El ensayo mexicano en el siglo XX LITERATURAEl ensayo mexicano en el siglo XX LITERATURA
El ensayo mexicano en el siglo XX LITERATURA
Armando920824
 
Examen de la EvAU 2024 en Navarra Latín.
Examen de la EvAU 2024 en Navarra Latín.Examen de la EvAU 2024 en Navarra Latín.
Examen de la EvAU 2024 en Navarra Latín.
amayaltc18
 
Las diversas Sociedades Mercantiles Mexico.pdf
Las diversas Sociedades Mercantiles Mexico.pdfLas diversas Sociedades Mercantiles Mexico.pdf
Las diversas Sociedades Mercantiles Mexico.pdf
La Paradoja educativa
 

Último (20)

Elites municipales y propiedades rurales: algunos ejemplos en territorio vascón
Elites municipales y propiedades rurales: algunos ejemplos en territorio vascónElites municipales y propiedades rurales: algunos ejemplos en territorio vascón
Elites municipales y propiedades rurales: algunos ejemplos en territorio vascón
 
teorema de pitagorasparalaeducacion.pptx
teorema de pitagorasparalaeducacion.pptxteorema de pitagorasparalaeducacion.pptx
teorema de pitagorasparalaeducacion.pptx
 
Las Tecnologias Digitales en los Aprendizajesdel Siglo XXI UNESCO Ccesa007.pdf
Las Tecnologias Digitales en los Aprendizajesdel Siglo XXI  UNESCO Ccesa007.pdfLas Tecnologias Digitales en los Aprendizajesdel Siglo XXI  UNESCO Ccesa007.pdf
Las Tecnologias Digitales en los Aprendizajesdel Siglo XXI UNESCO Ccesa007.pdf
 
INFORME MINEDU DEL PRIMER SIMULACRO 2024.pdf
INFORME MINEDU DEL PRIMER SIMULACRO 2024.pdfINFORME MINEDU DEL PRIMER SIMULACRO 2024.pdf
INFORME MINEDU DEL PRIMER SIMULACRO 2024.pdf
 
Sesión: El espiritismo desenmascarado.pdf
Sesión: El espiritismo desenmascarado.pdfSesión: El espiritismo desenmascarado.pdf
Sesión: El espiritismo desenmascarado.pdf
 
El Liberalismo económico en la sociedad y en el mundo
El Liberalismo económico en la sociedad y en el mundoEl Liberalismo económico en la sociedad y en el mundo
El Liberalismo económico en la sociedad y en el mundo
 
Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.
Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.
Horarios y fechas de la PAU 2024 en la Comunidad Valenciana.
 
EVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptx
EVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptxEVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptx
EVALUACION ESTUDIANTIL 2023-2024 Ecuador - Costa.pptx
 
Biografía de Gregor Mendel y sus 3 leyes.pptx
Biografía de Gregor Mendel y sus 3 leyes.pptxBiografía de Gregor Mendel y sus 3 leyes.pptx
Biografía de Gregor Mendel y sus 3 leyes.pptx
 
UNA VISITA A SAN PEDRO EN EL VATICANO.pdf
UNA VISITA A SAN PEDRO EN EL VATICANO.pdfUNA VISITA A SAN PEDRO EN EL VATICANO.pdf
UNA VISITA A SAN PEDRO EN EL VATICANO.pdf
 
Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...
Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...
Aprender-IA: Recursos online gratuitos para estar al tanto y familiarizarse c...
 
665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf
665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf
665033394-TODAS-LAS-SANGRES-resumen-Por-Capitulos.pdf
 
Examen Lengua y Literatura EVAU Andalucía.pdf
Examen Lengua y Literatura EVAU Andalucía.pdfExamen Lengua y Literatura EVAU Andalucía.pdf
Examen Lengua y Literatura EVAU Andalucía.pdf
 
Lecciones 10 Esc. Sabática. El espiritismo desenmascarado docx
Lecciones 10 Esc. Sabática. El espiritismo desenmascarado docxLecciones 10 Esc. Sabática. El espiritismo desenmascarado docx
Lecciones 10 Esc. Sabática. El espiritismo desenmascarado docx
 
SEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptx
SEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptxSEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptx
SEMIOLOGIA DE HEMORRAGIAS DIGESTIVAS.pptx
 
Guia para Docentes como usar ChatGPT Mineduc Ccesa007.pdf
Guia para Docentes como usar ChatGPT  Mineduc Ccesa007.pdfGuia para Docentes como usar ChatGPT  Mineduc Ccesa007.pdf
Guia para Docentes como usar ChatGPT Mineduc Ccesa007.pdf
 
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdfFORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
FORTI-JUNIO 2024. CIENCIA, EDUCACION, CULTURA,pdf
 
El ensayo mexicano en el siglo XX LITERATURA
El ensayo mexicano en el siglo XX LITERATURAEl ensayo mexicano en el siglo XX LITERATURA
El ensayo mexicano en el siglo XX LITERATURA
 
Examen de la EvAU 2024 en Navarra Latín.
Examen de la EvAU 2024 en Navarra Latín.Examen de la EvAU 2024 en Navarra Latín.
Examen de la EvAU 2024 en Navarra Latín.
 
Las diversas Sociedades Mercantiles Mexico.pdf
Las diversas Sociedades Mercantiles Mexico.pdfLas diversas Sociedades Mercantiles Mexico.pdf
Las diversas Sociedades Mercantiles Mexico.pdf
 

Reporte vhdl3

  • 1. SEP DGEST INSTITUTO TECNOLÓGICO SNEST DE MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Diseño Digital con VHDL Equipo: Alumno(s): Núm. de control: Mario Arturo Cruz Colunga 11260077 Miguel Angel Fierros Peña 11260081 Hermenegildo Martínez de la Cruz 11260095 Jorge Alejandro Reyes Torres 11260108 H. MATAMOROS, TAM. 17 de septiembre del 2013
  • 2. Practica 3 Objetivo: Implementar un decodificador bcd a 7 segmentos utilizando vhdl Marco teórico: Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en un código utilizado por los display de 7 segmentos para mostrar el númerocorrespondientea la combinación de entrada. Material: Laptop Kit spartan3e Software aldec HDL, xilinx ISE, adept. Procedimiento: Se crea nuevo proyecto en aldec HDL Se escribe el código VHDL en el nuevo proyecto
  • 3. Código VHDL del decodificador BCD a 7 segmentos library IEEE; use IEEE.STD_LOGIC_1164.all; entity bcd7seg is port( A: in STD_LOGIC_VECTOR(3 downto 0); ANN: out bit; G: out STD_LOGIC_VECTOR(6 downto 0) ); end bcd7seg; architecture bcd7seg of bcd7seg is begin process(A) begin ANN<='0'; case A is when "0000" =>G<="1000000"; when "0001" =>G<="1111001"; when "0010" =>G<="0100100"; when "0011" =>G<="0110000"; when "0100" =>G<="0011001"; when "0101" =>G<="0010010"; when "0110" =>G<="0000010"; when "0111" =>G<="1111000"; when "1000" =>G<="0000000"; when "1001" =>G<="0010000"; whenothers =>G<="1111111"; end case; endprocess; end bcd7seg; Observaciones y conclusiones: En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para que los números aparecieran correctamente.