SlideShare una empresa de Scribd logo
1 de 25
Descargar para leer sin conexión
CONTADORES
• Definición
• Diseño y analisis de un contador binario hacia
arriba de 3 bits con flip-flops JK.
• Otros contadores típicos
• Descripción VHDL
• Ejercicios
Definición
• Un contador es un circuito digital capaz de
contar sucesos electrónicos, tales como
impulsos, avanzando a través de una
secuencia de estados binarios.
• Contador síncrono es un tipo de contador
en el que todas las etapas utilizan el
mismo impulso de reloj.
DISEÑO DE UN CONTADOR BINARIO HACIA
ARRIBA DE 3 BITS CON FLIP-FLOPS JK
Paso 1 –Diagrama de estados
Cuando se aplica una señal de reloj, el
diagrama de estados muestra una
progresión de estados por los cuales el
contador avanza.
Paso 2 – Tabla del estado siguiente
Enumera cada estado del contador( estado
actual) junto con el correspondiente
estado siguiente. El estado siguiente es el
estado al que el contador paso desde su
estado actual, al aplicar un puso de reloj.
La tabla del estado siguiente se obtiene a
partir del diagrama de estados.
Estado actual Estado siguiente
Q2 Q1 Q0 Q2 Q1 Q0
0 0 0 0 0 1
0 0 1 0 1 0
0 1 0 0 1 1
0 1 1 1 0 0
1 0 0 1 0 1
1 0 1 1 1 0
1 1 0 1 1 1
1 1 1 0 0 0
Paso 3 – Tabla de transiciones de los Flip-Flops
Se enumeran todas las posibles transiciones de salida, mostrando como evoluciona la
salida Q del flip-flop al pasar de los estados actuales a los estados siguientes. Qn es el
estado presente en el flip-flop (antes de un impulso de reloj) y Qn+1 es el estado
siguiente (después de un impulso de reloj).
El número de variables de estado va a coincidir con el numero de flip-flops que vamos a
utilizar. En nuestro ejemplo utilizamos 3 variables d estado, por lo tanto, 3 flip-flops.
Tomamos un tabla de transiciones para un FF-JK:
Las salidas siempre coinciden con el estado actual en los contadores.
Transiciones de
salida
Entradas del
flip-flop
Qn Qn+1 J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0
Paso 4 – Mapas de Karnaugh
Utilizando la tabla de transiciones del FF-JK, se debe utilizar un mapa de Karnaugh para la
entrada J y otro para la entrada K de cada flip-flop.
Habrá que realizar tantos mapas de Karnaugh como entradas de flip-flops.
Estado actual Estado siguiente Entradas del flip-flop
Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K0
0 0 0 0 0 1 0 X 0 X 1 X
0 0 1 0 1 0 0 X 1 X X 1
0 1 0 0 1 1 0 X X 0 1 X
0 1 1 1 0 0 1 X X 1 X 1
1 0 0 1 0 1 X 0 0 X 1 X
1 0 1 1 1 0 X 0 1 X X 1
1 1 0 1 1 1 X 0 X 0 1 X
1 1 1 0 0 0 X 1 X 1 X 1
Paso 5 – Expresiones lógicas para las entradas de los flip-flops
Q1Q0
Q2 00 01 11 10
0 0 0 1 0
1 X X X X
Q1Q0
Q2 00 01 11 10
0 X X X X
1 0 0 1 0
Q1Q0
Q2 00 01 11 10
0 0 1 X X
1 0 1 X X
Q1Q0
Q2 00 01 11 10
0 X X 1 0
1 X X 1 0
Q1Q0
Q2 00 01 11 10
0 1 X X 1
1 1 X X 1
Q1Q0
Q2 00 01 11 10
0 X 1 1 X
1 X 1 1 X
J2=Q1Q0 K2=Q1Q0
J1=Q0 K1=Q0
J0=1 K0=1
Paso 6 – Implementación del contador.
Análisis de un contador de 3 bits
con flip-flops JK.
• Paso 1. Determinar el número de estados.
En nuestro ejemplo, como tenemos 3 flip-
flops, tenemos N=3 variables de estado
Y2Y1Y0, por lo tanto, tenemos 2n=8
estados. Utilizaremos la codificación típica
binaria.
• Paso 2.- Extraemos las funciones lógicas
del circuito.
Variables de estado
Estados Y2 Y1 Y0
S0 0 0 0
S1 0 0 1
S2 0 1 0
S3 0 1 1
S4 1 0 0
S5 1 0 1
S6 1 1 0
S7 1 1 1
J2=Q1Q0 K2=Q1Q0
J1=Q0 K1=Q0
J0=1K0=1
• Paso 3.- Realizamos una tabla en donde las filas se sitúa cada estado
descrito mediante su codificación en binario en las variables de estado, y
en cada columna cada posible combinación de valores lógicos en las
entradas del circuito. Cada casilla de la tabla se va a rellenar con el valor
de las entradas de cada flip-flop (en subcolumnas), obteniéndolas a partir
de las funciones del decodificador del siguiente estado.
Y2 Y1 Y0 J2 K2 J1 K1 J0 K0
0 0 0 0 0 0 0 1 1
0 0 1 0 0 1 1 1 1
0 1 0 0 0 0 0 1 1
0 1 1 1 1 1 1 1 1
1 0 0 0 0 0 0 1 1
1 0 1 0 0 1 1 1 1
1 1 0 0 0 0 0 1 1
1 1 1 1 1 1 1 1 1
• Paso 4.- Convertimos la tabla anterior en una nueva tabla con la
misma relación de filas-columnas, situando en cada casilla los
nuevos valores que se cargan en las variables de estado (al llegar
el flanco de reloj), obtenidos para una variable de estado yi en
función de los valores de las entradas del flip-flop i, del valor actual
de la variable yi y de la tabla de operación del flip-flop i. Realmente
se está haciendo yi+ = F(Inp, yi). Mantener las salidas como en la
tabla anterior.
Q2 Q1 Q0 Q2+ Q1+ Q0+
0 0 0 0 0 1
0 0 1 0 1 0
0 1 0 0 1 1
0 1 1 1 0 0
1 0 0 1 0 1
1 0 1 1 1 0
1 1 0 1 1 1
1 1 1 0 0 0
J K Q+
0 0 Q
0 1 0
1 0 1
1 1 Q'
• Paso 5.-Generamos la tabla de estados
sustituyendo las combinaciones de valores en
las variables de estado que aparecen en la tabla
anterior por el nombre correspondiente del
estado.
PS NS
S0 S1
S1 S2
S2 S3
S3 S4
S4 S5
S5 S6
S6 S7
S7 S0
OTROS CONTADORES TIPICOS
• 1- Cuentas hacia abajo
• 2- Cuentas BCD
• 3- Contador de décadas
• 4- Contador ascendente/descendente
• 5- Contador en anillo
• 6- Contador Johnson
• 5- Inicialización de la cuenta mediante un Reset, un Set, o un Preset
a un valor determinado mediante entradas de carga en paralelo.
Contador Síncrono descendente
Estado actual Estado siguiente
Q2 Q1 Q0 Q2 Q1 Q0
0 0 0 1 1 1
0 0 1 1 1 0
0 1 0 1 0 1
0 1 1 1 0 0
1 0 0 0 1 1
1 0 1 0 1 0
1 1 0 0 0 1
1 1 1 0 0 0
Contador Síncrono
Ascendente/Descendente
Pulso de reloj
Ascendente
(UP/DOWN=1)
Q2 Q1 Q0
Descendente
(UP/DOWN=0)
0 0 0 0
1 0 0 1
2 0 1 0
3 0 1 1
4 1 0 0
5 1 0 1
6 1 1 0
7 1 1 1
Contador de décadas
• Contador digital de 10 estados:
ascendente de 0 a 9 y vuelta a 0
Contador BCD
• Vamos a centrarnos en este tipo de contador, y
con él, realizaremos un ejemplo en Circuit
Maker extrayendo del catalogo 74 TTL sus
características de funcionamiento.
• En nuestro ejemplo, hemos elegido un contador
BCD de la familia lógica 74LS168.
Familia lógica – 74LS168
Simulación en Circuit Maker
CODIGO PARA EL CONTADOR BCD DE DOS DIGITOS
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY BCDcount IS
PORT ( Clock : IN STD_LOGIC;
( Clear, E : IN STD_LOGIC;
BCD1, BCD0: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));
END BCDcount;
ARCHITECTURE simul_vhdl OF BCDcount IS
BEGIN
PROCESS (Clock)
BEGIN
IF Clock’EVENT AND Clock = ‘1’THEN
IF Clear = ‘1’ THEN
BCD1 <= “0000”; BCD0 <=”0000”;
ELSIF E =’1’ THEN
IF BCD0 = “1001” THEN
BCD0<=”0000”;
IF BCD1 = “1001” THEN
BCD1 <= “0000”;
ELSE
BCD1<= BCD1+1;
END IF;
ELSE
BCD0<=BCD0+1;
END IF;
END IF;
END IF;
END PROCESS;
END simul_vhdl;
Ejercicios
• Diseñar un contador binario síncrono
creciente de 2 bits a implementar con
FF’s tipo JK.
• Analizar el siguiente contador síncrono
ascendente/descendente básico de 3 bits.
• Analizar el contador síncrono ascendente/descendente de 3 bits con una secuencia
en código Gray. El contador trabajará en modo ascendente cuando la entrada de
control UP/DOWN* sea 1, y trabajará en modo descendente cuando la entrada de
control sea 0.

Más contenido relacionado

La actualidad más candente

Contador asíncrono binario
Contador asíncrono binarioContador asíncrono binario
Contador asíncrono binarioIsrael Chandy
 
Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...
Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...
Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...Jomicast
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoSANTIAGO PABLO ALBERTO
 
Flip flops
Flip flopsFlip flops
Flip flopsdeivivp
 
David salazar flip flops
David salazar flip flopsDavid salazar flip flops
David salazar flip flopsDavidSalaazar
 
Sumador de 4 bits
Sumador de 4 bitsSumador de 4 bits
Sumador de 4 bitsAbril Bello
 
INTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICAS
INTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICASINTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICAS
INTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICASAlan EG
 
Programación 3: árboles de búsqueda equilibrados
Programación 3: árboles de búsqueda equilibradosProgramación 3: árboles de búsqueda equilibrados
Programación 3: árboles de búsqueda equilibradosAngel Vázquez Patiño
 
Contadores a y s síncronos
Contadores a y s síncronosContadores a y s síncronos
Contadores a y s síncronosDiego Ayala
 
Principios Electricos y Aplicaciones Digitales
Principios Electricos y Aplicaciones Digitales  Principios Electricos y Aplicaciones Digitales
Principios Electricos y Aplicaciones Digitales Karaa Xiah Poot
 

La actualidad más candente (20)

Contador asíncrono binario
Contador asíncrono binarioContador asíncrono binario
Contador asíncrono binario
 
Flip flop
Flip flopFlip flop
Flip flop
 
Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...
Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...
Circuitos secuenciales: Contadores, Registros de Desplazamiento y Circuito de...
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estado
 
Biestable
Biestable  Biestable
Biestable
 
Flip flops
Flip flopsFlip flops
Flip flops
 
David salazar flip flops
David salazar flip flopsDavid salazar flip flops
David salazar flip flops
 
Sumador de 4 bits
Sumador de 4 bitsSumador de 4 bits
Sumador de 4 bits
 
INTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICAS
INTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICASINTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICAS
INTRODUCCIÓN A LAS FUNCIONES LÓGICAS BÁSICAS
 
Serie de fourier
Serie de fourierSerie de fourier
Serie de fourier
 
Programación 3: árboles de búsqueda equilibrados
Programación 3: árboles de búsqueda equilibradosProgramación 3: árboles de búsqueda equilibrados
Programación 3: árboles de búsqueda equilibrados
 
Los Flip Flops
Los Flip FlopsLos Flip Flops
Los Flip Flops
 
Flip flops
Flip flopsFlip flops
Flip flops
 
Contadores a y s síncronos
Contadores a y s síncronosContadores a y s síncronos
Contadores a y s síncronos
 
mapas de karnaugh
mapas de karnaughmapas de karnaugh
mapas de karnaugh
 
Puertas lógicas
Puertas lógicasPuertas lógicas
Puertas lógicas
 
Informe 4 digitales
Informe 4 digitalesInforme 4 digitales
Informe 4 digitales
 
Principios Electricos y Aplicaciones Digitales
Principios Electricos y Aplicaciones Digitales  Principios Electricos y Aplicaciones Digitales
Principios Electricos y Aplicaciones Digitales
 
Latches
LatchesLatches
Latches
 
Tipos de flip flops
Tipos de flip flopsTipos de flip flops
Tipos de flip flops
 

Destacado (12)

Condori qb
Condori qbCondori qb
Condori qb
 
Transcrips 2017
Transcrips 2017Transcrips 2017
Transcrips 2017
 
Task 3
Task 3Task 3
Task 3
 
Segurança da informação palestra wordcamp sp 2016
Segurança da informação   palestra wordcamp sp 2016Segurança da informação   palestra wordcamp sp 2016
Segurança da informação palestra wordcamp sp 2016
 
Crecimiento poblacional en bucaramanga kerlyn y juan (1)
Crecimiento poblacional en bucaramanga kerlyn y juan (1)Crecimiento poblacional en bucaramanga kerlyn y juan (1)
Crecimiento poblacional en bucaramanga kerlyn y juan (1)
 
SUNITHA RAJENDRAN
SUNITHA RAJENDRANSUNITHA RAJENDRAN
SUNITHA RAJENDRAN
 
Visual resume
Visual resume Visual resume
Visual resume
 
Heba Qotb Portfolio
Heba Qotb PortfolioHeba Qotb Portfolio
Heba Qotb Portfolio
 
caranaval de Baranquilla
caranaval  de Baranquilla caranaval  de Baranquilla
caranaval de Baranquilla
 
La montaña 16 febbraio 2017 divina angelo custode
La montaña 16 febbraio 2017   divina angelo custodeLa montaña 16 febbraio 2017   divina angelo custode
La montaña 16 febbraio 2017 divina angelo custode
 
Electiva i biotecnologia
Electiva i biotecnologiaElectiva i biotecnologia
Electiva i biotecnologia
 
Apostila photoshop
Apostila photoshopApostila photoshop
Apostila photoshop
 

Similar a Contadores

Procedimiento de diseño
Procedimiento de diseñoProcedimiento de diseño
Procedimiento de diseñoEdmary Rojas
 
Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros SANTIAGO PABLO ALBERTO
 
Final 7 digitales
Final 7 digitalesFinal 7 digitales
Final 7 digitalesGeralPachas
 
Principios de Diseño Lógico Secuencial
Principios de Diseño Lógico Secuencial Principios de Diseño Lógico Secuencial
Principios de Diseño Lógico Secuencial Luoren Centeno
 
Contador de 4 bytes con flip flop d (7474)
Contador de 4 bytes con flip flop  d (7474)Contador de 4 bytes con flip flop  d (7474)
Contador de 4 bytes con flip flop d (7474)alexis_meca
 
Lógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-RegLógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-RegEdgar Rivera
 
Informe practico de circuitos digitales
Informe practico de circuitos digitalesInforme practico de circuitos digitales
Informe practico de circuitos digitalesCarlos Garrido
 
practica digitales victor navea
practica digitales victor naveapractica digitales victor navea
practica digitales victor naveavictornavea
 
Rafael video1.doc
Rafael video1.docRafael video1.doc
Rafael video1.docrfltorres1
 
DiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo JkDiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo Jkguestff0bcb9e
 
D:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo Jk
D:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo JkD:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo Jk
D:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo Jkguestff0bcb9e
 
Proyecto no7
Proyecto no7Proyecto no7
Proyecto no7andrevmd
 

Similar a Contadores (20)

Tema 7 -_contadores_y_registros
Tema 7 -_contadores_y_registrosTema 7 -_contadores_y_registros
Tema 7 -_contadores_y_registros
 
Procedimiento de diseño
Procedimiento de diseñoProcedimiento de diseño
Procedimiento de diseño
 
Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros
 
CONTADORES.pdf
CONTADORES.pdfCONTADORES.pdf
CONTADORES.pdf
 
Final 7 digitales
Final 7 digitalesFinal 7 digitales
Final 7 digitales
 
Principios de Diseño Lógico Secuencial
Principios de Diseño Lógico Secuencial Principios de Diseño Lógico Secuencial
Principios de Diseño Lógico Secuencial
 
Contador de 4 bytes con flip flop d (7474)
Contador de 4 bytes con flip flop  d (7474)Contador de 4 bytes con flip flop  d (7474)
Contador de 4 bytes con flip flop d (7474)
 
Lógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-RegLógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-Reg
 
Sesion 7 S Dbos
Sesion 7 S DbosSesion 7 S Dbos
Sesion 7 S Dbos
 
Informe practico de circuitos digitales
Informe practico de circuitos digitalesInforme practico de circuitos digitales
Informe practico de circuitos digitales
 
Asignacion7
Asignacion7Asignacion7
Asignacion7
 
practica digitales victor navea
practica digitales victor naveapractica digitales victor navea
practica digitales victor navea
 
Contadores (electronica)
Contadores (electronica)Contadores (electronica)
Contadores (electronica)
 
Rafael video1.doc
Rafael video1.docRafael video1.doc
Rafael video1.doc
 
DiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo JkDiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo Jk
 
D:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo Jk
D:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo JkD:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo Jk
D:\De Todo\Articulos William\DiseñO De Un Contador Con Flip Flops Tipo Jk
 
Proyecto digitales
Proyecto digitalesProyecto digitales
Proyecto digitales
 
Clase 2 cdii
Clase 2 cdiiClase 2 cdii
Clase 2 cdii
 
cir_digitales
 cir_digitales cir_digitales
cir_digitales
 
Proyecto no7
Proyecto no7Proyecto no7
Proyecto no7
 

Último

Presentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdf
Presentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdfPresentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdf
Presentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdfMIGUELANGELCONDORIMA4
 
ECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdfECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdfmatepura
 
Flujo potencial, conceptos básicos y ejemplos resueltos.
Flujo potencial, conceptos básicos y ejemplos resueltos.Flujo potencial, conceptos básicos y ejemplos resueltos.
Flujo potencial, conceptos básicos y ejemplos resueltos.ALEJANDROLEONGALICIA
 
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfReporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfMikkaelNicolae
 
sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7luisanthonycarrascos
 
183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdf183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdfEdwinAlexanderSnchez2
 
Residente de obra y sus funciones que realiza .pdf
Residente de obra y sus funciones que realiza  .pdfResidente de obra y sus funciones que realiza  .pdf
Residente de obra y sus funciones que realiza .pdfevin1703e
 
Reporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpacaReporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpacajeremiasnifla
 
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAIPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAJAMESDIAZ55
 
Normas para los aceros basados en ASTM y AISI
Normas para los aceros basados en ASTM y AISINormas para los aceros basados en ASTM y AISI
Normas para los aceros basados en ASTM y AISIfimumsnhoficial
 
Fijaciones de balcones prefabricados de hormigón - RECENSE
Fijaciones de balcones prefabricados de hormigón - RECENSEFijaciones de balcones prefabricados de hormigón - RECENSE
Fijaciones de balcones prefabricados de hormigón - RECENSEANDECE
 
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdfAnthonyTiclia
 
TEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIAS
TEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIASTEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIAS
TEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIASfranzEmersonMAMANIOC
 
Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...
Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...
Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...SuannNeyraChongShing
 
Edificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes GranadaEdificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes GranadaANDECE
 
Flujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptxFlujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptxEduardoSnchezHernnde5
 
Una estrategia de seguridad en la nube alineada al NIST
Una estrategia de seguridad en la nube alineada al NISTUna estrategia de seguridad en la nube alineada al NIST
Una estrategia de seguridad en la nube alineada al NISTFundación YOD YOD
 
Fe_C_Tratamientos termicos_uap _3_.ppt
Fe_C_Tratamientos termicos_uap   _3_.pptFe_C_Tratamientos termicos_uap   _3_.ppt
Fe_C_Tratamientos termicos_uap _3_.pptVitobailon
 
Caldera Recuperadora de químicos en celulosa tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa  tipos y funcionamientoCaldera Recuperadora de químicos en celulosa  tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa tipos y funcionamientoRobertoAlejandroCast6
 
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIPSEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIPJosLuisFrancoCaldern
 

Último (20)

Presentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdf
Presentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdfPresentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdf
Presentación N° 1 INTRODUCCIÓN Y CONCEPTOS DE GESTIÓN AMBIENTAL.pdf
 
ECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdfECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdf
 
Flujo potencial, conceptos básicos y ejemplos resueltos.
Flujo potencial, conceptos básicos y ejemplos resueltos.Flujo potencial, conceptos básicos y ejemplos resueltos.
Flujo potencial, conceptos básicos y ejemplos resueltos.
 
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfReporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
 
sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7
 
183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdf183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdf
 
Residente de obra y sus funciones que realiza .pdf
Residente de obra y sus funciones que realiza  .pdfResidente de obra y sus funciones que realiza  .pdf
Residente de obra y sus funciones que realiza .pdf
 
Reporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpacaReporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpaca
 
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAIPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
 
Normas para los aceros basados en ASTM y AISI
Normas para los aceros basados en ASTM y AISINormas para los aceros basados en ASTM y AISI
Normas para los aceros basados en ASTM y AISI
 
Fijaciones de balcones prefabricados de hormigón - RECENSE
Fijaciones de balcones prefabricados de hormigón - RECENSEFijaciones de balcones prefabricados de hormigón - RECENSE
Fijaciones de balcones prefabricados de hormigón - RECENSE
 
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
 
TEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIAS
TEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIASTEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIAS
TEXTURA Y DETERMINACION DE ROCAS SEDIMENTARIAS
 
Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...
Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...
Polimeros.LAS REACCIONES DE POLIMERIZACION QUE ES COMO EN QUIMICA LLAMAMOS A ...
 
Edificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes GranadaEdificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes Granada
 
Flujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptxFlujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptx
 
Una estrategia de seguridad en la nube alineada al NIST
Una estrategia de seguridad en la nube alineada al NISTUna estrategia de seguridad en la nube alineada al NIST
Una estrategia de seguridad en la nube alineada al NIST
 
Fe_C_Tratamientos termicos_uap _3_.ppt
Fe_C_Tratamientos termicos_uap   _3_.pptFe_C_Tratamientos termicos_uap   _3_.ppt
Fe_C_Tratamientos termicos_uap _3_.ppt
 
Caldera Recuperadora de químicos en celulosa tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa  tipos y funcionamientoCaldera Recuperadora de químicos en celulosa  tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa tipos y funcionamiento
 
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIPSEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
 

Contadores

  • 1. CONTADORES • Definición • Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. • Otros contadores típicos • Descripción VHDL • Ejercicios
  • 2. Definición • Un contador es un circuito digital capaz de contar sucesos electrónicos, tales como impulsos, avanzando a través de una secuencia de estados binarios. • Contador síncrono es un tipo de contador en el que todas las etapas utilizan el mismo impulso de reloj.
  • 3. DISEÑO DE UN CONTADOR BINARIO HACIA ARRIBA DE 3 BITS CON FLIP-FLOPS JK Paso 1 –Diagrama de estados Cuando se aplica una señal de reloj, el diagrama de estados muestra una progresión de estados por los cuales el contador avanza. Paso 2 – Tabla del estado siguiente Enumera cada estado del contador( estado actual) junto con el correspondiente estado siguiente. El estado siguiente es el estado al que el contador paso desde su estado actual, al aplicar un puso de reloj. La tabla del estado siguiente se obtiene a partir del diagrama de estados. Estado actual Estado siguiente Q2 Q1 Q0 Q2 Q1 Q0 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 0 0
  • 4. Paso 3 – Tabla de transiciones de los Flip-Flops Se enumeran todas las posibles transiciones de salida, mostrando como evoluciona la salida Q del flip-flop al pasar de los estados actuales a los estados siguientes. Qn es el estado presente en el flip-flop (antes de un impulso de reloj) y Qn+1 es el estado siguiente (después de un impulso de reloj). El número de variables de estado va a coincidir con el numero de flip-flops que vamos a utilizar. En nuestro ejemplo utilizamos 3 variables d estado, por lo tanto, 3 flip-flops. Tomamos un tabla de transiciones para un FF-JK: Las salidas siempre coinciden con el estado actual en los contadores. Transiciones de salida Entradas del flip-flop Qn Qn+1 J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0
  • 5. Paso 4 – Mapas de Karnaugh Utilizando la tabla de transiciones del FF-JK, se debe utilizar un mapa de Karnaugh para la entrada J y otro para la entrada K de cada flip-flop. Habrá que realizar tantos mapas de Karnaugh como entradas de flip-flops. Estado actual Estado siguiente Entradas del flip-flop Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K0 0 0 0 0 0 1 0 X 0 X 1 X 0 0 1 0 1 0 0 X 1 X X 1 0 1 0 0 1 1 0 X X 0 1 X 0 1 1 1 0 0 1 X X 1 X 1 1 0 0 1 0 1 X 0 0 X 1 X 1 0 1 1 1 0 X 0 1 X X 1 1 1 0 1 1 1 X 0 X 0 1 X 1 1 1 0 0 0 X 1 X 1 X 1
  • 6. Paso 5 – Expresiones lógicas para las entradas de los flip-flops Q1Q0 Q2 00 01 11 10 0 0 0 1 0 1 X X X X Q1Q0 Q2 00 01 11 10 0 X X X X 1 0 0 1 0 Q1Q0 Q2 00 01 11 10 0 0 1 X X 1 0 1 X X Q1Q0 Q2 00 01 11 10 0 X X 1 0 1 X X 1 0 Q1Q0 Q2 00 01 11 10 0 1 X X 1 1 1 X X 1 Q1Q0 Q2 00 01 11 10 0 X 1 1 X 1 X 1 1 X J2=Q1Q0 K2=Q1Q0 J1=Q0 K1=Q0 J0=1 K0=1
  • 7. Paso 6 – Implementación del contador.
  • 8. Análisis de un contador de 3 bits con flip-flops JK. • Paso 1. Determinar el número de estados. En nuestro ejemplo, como tenemos 3 flip- flops, tenemos N=3 variables de estado Y2Y1Y0, por lo tanto, tenemos 2n=8 estados. Utilizaremos la codificación típica binaria.
  • 9. • Paso 2.- Extraemos las funciones lógicas del circuito. Variables de estado Estados Y2 Y1 Y0 S0 0 0 0 S1 0 0 1 S2 0 1 0 S3 0 1 1 S4 1 0 0 S5 1 0 1 S6 1 1 0 S7 1 1 1 J2=Q1Q0 K2=Q1Q0 J1=Q0 K1=Q0 J0=1K0=1
  • 10. • Paso 3.- Realizamos una tabla en donde las filas se sitúa cada estado descrito mediante su codificación en binario en las variables de estado, y en cada columna cada posible combinación de valores lógicos en las entradas del circuito. Cada casilla de la tabla se va a rellenar con el valor de las entradas de cada flip-flop (en subcolumnas), obteniéndolas a partir de las funciones del decodificador del siguiente estado. Y2 Y1 Y0 J2 K2 J1 K1 J0 K0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 1 1 1 0 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 1 1 1 0 1 0 0 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1
  • 11. • Paso 4.- Convertimos la tabla anterior en una nueva tabla con la misma relación de filas-columnas, situando en cada casilla los nuevos valores que se cargan en las variables de estado (al llegar el flanco de reloj), obtenidos para una variable de estado yi en función de los valores de las entradas del flip-flop i, del valor actual de la variable yi y de la tabla de operación del flip-flop i. Realmente se está haciendo yi+ = F(Inp, yi). Mantener las salidas como en la tabla anterior. Q2 Q1 Q0 Q2+ Q1+ Q0+ 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 0 0 J K Q+ 0 0 Q 0 1 0 1 0 1 1 1 Q'
  • 12. • Paso 5.-Generamos la tabla de estados sustituyendo las combinaciones de valores en las variables de estado que aparecen en la tabla anterior por el nombre correspondiente del estado. PS NS S0 S1 S1 S2 S2 S3 S3 S4 S4 S5 S5 S6 S6 S7 S7 S0
  • 13. OTROS CONTADORES TIPICOS • 1- Cuentas hacia abajo • 2- Cuentas BCD • 3- Contador de décadas • 4- Contador ascendente/descendente • 5- Contador en anillo • 6- Contador Johnson • 5- Inicialización de la cuenta mediante un Reset, un Set, o un Preset a un valor determinado mediante entradas de carga en paralelo.
  • 14. Contador Síncrono descendente Estado actual Estado siguiente Q2 Q1 Q0 Q2 Q1 Q0 0 0 0 1 1 1 0 0 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 0 0 0 1 1 1 0 1 0 1 0 1 1 0 0 0 1 1 1 1 0 0 0
  • 15. Contador Síncrono Ascendente/Descendente Pulso de reloj Ascendente (UP/DOWN=1) Q2 Q1 Q0 Descendente (UP/DOWN=0) 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 1 1 0 7 1 1 1
  • 16. Contador de décadas • Contador digital de 10 estados: ascendente de 0 a 9 y vuelta a 0
  • 17. Contador BCD • Vamos a centrarnos en este tipo de contador, y con él, realizaremos un ejemplo en Circuit Maker extrayendo del catalogo 74 TTL sus características de funcionamiento. • En nuestro ejemplo, hemos elegido un contador BCD de la familia lógica 74LS168.
  • 19.
  • 20.
  • 22. CODIGO PARA EL CONTADOR BCD DE DOS DIGITOS LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY BCDcount IS PORT ( Clock : IN STD_LOGIC; ( Clear, E : IN STD_LOGIC; BCD1, BCD0: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END BCDcount; ARCHITECTURE simul_vhdl OF BCDcount IS BEGIN PROCESS (Clock) BEGIN IF Clock’EVENT AND Clock = ‘1’THEN IF Clear = ‘1’ THEN BCD1 <= “0000”; BCD0 <=”0000”; ELSIF E =’1’ THEN IF BCD0 = “1001” THEN BCD0<=”0000”; IF BCD1 = “1001” THEN BCD1 <= “0000”; ELSE BCD1<= BCD1+1; END IF; ELSE BCD0<=BCD0+1; END IF; END IF; END IF; END PROCESS; END simul_vhdl;
  • 23. Ejercicios • Diseñar un contador binario síncrono creciente de 2 bits a implementar con FF’s tipo JK.
  • 24. • Analizar el siguiente contador síncrono ascendente/descendente básico de 3 bits.
  • 25. • Analizar el contador síncrono ascendente/descendente de 3 bits con una secuencia en código Gray. El contador trabajará en modo ascendente cuando la entrada de control UP/DOWN* sea 1, y trabajará en modo descendente cuando la entrada de control sea 0.