SlideShare una empresa de Scribd logo
1 de 7
Descargar para leer sin conexión
PRIMER PARCIAL:
MSS + ASM
1
011000010111001101100001011011100111101001100001
01101010011001010110000101101110
Sistemas Digitales II
LECCIÓN PROPUESTA
SIMULADA
SISTEMAS DIGITALES II
vasanza
NOTA:
2
011000010111001101100001011011100111101001100001
01101010011001010110000101101110
y0y2y1 00 01 11 10
0 1 1 𝐼𝑛 0
1 1 𝐼𝑛 𝐼𝑛 𝐼𝑛
* Para todos los ejercicios usar la siguiente asignación de códigos de estados.
* Utilizar Variable Entrante al Mapa (VEM) o Variable Saliente al Mapa (VSM) de ser
necesario.
y0,Iny2,y1 00 01 11 10
00 1 1 0 0
01 1 1 1 0
11 1 1 0 1
10 1 0 1 0
y1y2 0 1
0 1 𝑦0 . 𝐼𝑛
1 𝑦0 + 𝐼𝑛 𝑦0Ꚛ𝐼𝑛
Asignación de Códigos de Estado.
y1y0y3y2 00 01 11 10
00 A E M I
01 B F N J
11 D H P L
10 C G O K
Asignación de Códigos de Estado.
y0y2y1 00 01 11 10
0 A C G E
1 B D H F
vasanzaSistemas Digitales II
3
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
1.) Se tiene una MSS-Master que gobierna el comportamiento de una MSS-Slave tal
como se indica en la siguiente gráfica:
La MSS-Master deberá primero recibir el tipo de comportamiento que usted desee que
realice la MSS-Slave por medio de la señal Mealy/Moore (Mealy-1, Moore-0), luego
estará pendiente de la señal Start para empezar a trabajar. Para tener la posibilidad de
cambiar el modo de funcionamiento de la MSS-Slave se podrá presionar el botón Stop
para que la MSS-Master regrese a su estado inicial.
La MSS-Salve según la combinación presente en sus entradas que provee la MSS-
Master, se podrá comportar como una maquina modelo Mealy o Moore, la información
de su funcionamiento está dada por medio de sus decodificadores de estado siguiente y
salida:
MSS-Master
𝑺𝒕𝒂𝒓𝒕
𝑴𝒆𝒂𝒍𝒚/𝑴𝒐𝒐𝒓𝒆 MSS-Slave
δ
λ
En2
Ld2
En1
Ld1𝑺𝒕𝒐𝒑
vasanzaSistemas Digitales II
4
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
Se pide:
• Hacer el diagrama de estados de la MSS-Slave utilizando el siguiente formato:
δ, λ/En2,Ld2,En1,Ld1. (5P)
• Obtener el ASM de la MSS-Master. (5P)
• Implementar el circuito completo de la MSS-Master utilizando: Memoria de Estados
(Usar Registro de Sostenimiento), Decodificador de Estado Siguientes y Salida (Usar
Multiplexores 8 a 1). (5P)
• Escriba el código VHDL de la MSS-Master. (5P)
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS-
Master. (5P)
(MSS-Slave) Decodificador de Estado Siguiente: Y2,Y1,Y0
y0y2,y1 00 01 11 10
0 0, δλ, തδതλ തδλ, δ+തλ, തδതλ 1, 1, 1 തδ + തλ, δλ, δ+തλ
1 δꚚλ, δꚚλ, δꙨλ λ, തλ,തδ + തλ തδ, തδ, തδ 0, 0, 0
(MSS-Slave) Decodificador de Salida: En2, Ld2, En1, Ld1
y0y2y1 00 01 11 10
0 0, 0, 0, 0 0, 0, 1, 0 δതλ, δതλ, തδλ, തδλ 1, 0, 0, 0
1 δതλ, 0, തδλ, 0 0, 0, 1, 1 δλ, 0, തδതλ, 0 1, 1, 0, 0
vasanzaSistemas Digitales II
5
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
2.) Se tiene una MSS-Master que gobierna el comportamiento de dos MSS-Slave tal
como se indica en la siguiente gráfica:
La MSS-Master en el estado inicial deberá primero recibir la dirección en la que desea
usted mover el motor (Izquierda-1, Derecha-0), luego estará pendiente de la señal Start
para empezar a trabajar. En el momento en que empieza a hacer girar el motor en
cualquiera de las dos direcciones, la MSS-Master estará siempre pendiente de las alertas
de Corriente y Temperatura, si cualquiera de estas dos entradas se hace uno la MSS-
Master detendrá el motor durante 2 periodos de reloj antes e regresar al estado inicial
de forma automática. Para tener la posibilidad de detener al motor y cambiar la
dirección de giro se podrá presionar el botón Stop para que la MSS-Master regrese a su
estado inicial.
MSS
Master
𝑺𝒕𝒂𝒓𝒕
𝑫𝒊𝒓𝒆𝒄𝒄𝒊ó𝒏
MSS-Slave
Puente H
Izq
𝑫𝒆𝒓
δ
λ
η
π
𝑺𝒕𝒐𝒑
vasanza
MSS-Slave
Sensor
𝑪𝒐𝒓𝒓𝒊𝒆𝒏𝒕𝒆
𝑻𝒆𝒎𝒑.
µ1
µ0
ϕ1
ϕ0
Motor Paso
Sistemas Digitales II
6
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
(MSS-Slave Sensor) Decodificador de Estado Siguiente: Y2,Y1,Y0
y0y2,y1 00 01 11 10
0 ϕ0 ϕ1 μ0 μ1, ϕ0(ϕ1+μ0), ϕ0 + ϕ1μ0 μ1, μ1+μ0, 0 0, 0, 0 1, ϕ0, ϕ0
1 μ0μ1, μ0 μ1, 1 ϕ0, 1, 1 0, 0, 0 0, 0, 0
(MSS-Slave Sensor) Decodificador de Salida: Corriente, Temp.
y0y2y1 00 01 11 10
0 0, 0 0, 0 1, 0 0, 0
1 0, 0 0, 0 ϕ1, ϕ1 0, 1
vasanza
La MSS-Salve(Sensor) según la combinación presente en sus entradas que proveen
sensores presentes en el motor, informará a la MSS-Master cuando existe un exceso de
corriente o de temperatura. Esto se muestra en los decodificadores siguientes:
La MSS-Salve(Puente H) le permite a la MSS-Master controlar la dirección del
movimiento del motor a una velocidad constante. Esto se muestra en los siguientes
decodificadores:
(MSS-Slave Puente H) Decodificador Est. Sig.: Y2,Y1,Y0
y0y2,y1 00 01 11 10
0 0, Izq Der, Izq + Der 0, 0, Izq 1, 0, 1 0, Der, Der
1 0, 1, 0 1, 0, 0 1, 1, 0 0, 0, 0
Sistemas Digitales II
7
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
Se pide:
• Hacer el diagrama de estados de la MSS-Slave (Sensores) utilizando el siguiente
formato: μ1, μ0, ϕ1, ϕ0 /Corriente, Temp. (5P)
• Hacer el diagrama ASM de la MSS-Slave (Puente H). (5p)
• Obtener el diagrama ASM de la MSS-Master. (5P)
• Implementar el circuito completo de la MSS-Master utilizando: Memoria de Estados
(Usar Registro de Sostenimiento), Decodificador de Estado Siguientes y Salida (Usar
Multiplexores 8 a 1). (5P)
• Escriba el código VHDL de la MSS-Master. (5P)
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS-
Master. (5P)
(MSS-Slave Puente H) Decodificador de Salida: δ, λ, η, π
y0y2y1 00 01 11 10
0 0, 0, 0, 0 0, 1, 0, 1 1, 0, 0, 1 1, 0, 1, 0
1 1, 0, 1, 0 0, 1, 0, 1 1, 1, 1, 0 0, 1, 0 ,1
vasanzaSistemas Digitales II

Más contenido relacionado

La actualidad más candente

⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)
⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)
⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)
⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)
⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD (2do Parcial)
⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD  (2do Parcial)⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD  (2do Parcial)
⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD (2do Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALESVictor Asanza
 
⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)
⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)
⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEW
⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEW⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEW
⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEWVictor Asanza
 
Decodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDecodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDiego Pezo
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)Victor Asanza
 
Sistemas digitales deco cont multiflexor
Sistemas digitales deco cont multiflexorSistemas digitales deco cont multiflexor
Sistemas digitales deco cont multiflexorACI MASER
 
3.2 display 7 segmentos v4
3.2 display 7 segmentos v43.2 display 7 segmentos v4
3.2 display 7 segmentos v4SNPP
 
Sistemas digitales combinacionales
Sistemas digitales combinacionalesSistemas digitales combinacionales
Sistemas digitales combinacionalesFrancesc Perez
 
Electronica digital 4º ESO
Electronica digital 4º ESOElectronica digital 4º ESO
Electronica digital 4º ESOKoldo Parra
 

La actualidad más candente (20)

⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)
⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)
⭐⭐⭐⭐⭐ Examen Sistemas Digitales SD+MSA (2do Parcial)
 
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
 
⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)
⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)
⭐⭐⭐⭐⭐ S.D. CONTADOR DE 1’s CONSECUTIVOS CON TRASLAPE (2do Parcial)
 
⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD (2do Parcial)
⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD  (2do Parcial)⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD  (2do Parcial)
⭐⭐⭐⭐⭐ RESUMEN DE PASOS EN IMPLEMENTACION DE SD (2do Parcial)
 
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
 
⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)
⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)
⭐⭐⭐⭐⭐ S.D. DISEÑO DE UNA MAQUINA CONTROLADORA DE ACCESO (1er Parcial)
 
⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEW
⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEW⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEW
⭐⭐⭐⭐⭐ INTRODUCCIÓN AL USO DE LABVIEW
 
Ultima asignacion 20% circuito digital Samuel Movilio
Ultima asignacion 20% circuito digital Samuel MovilioUltima asignacion 20% circuito digital Samuel Movilio
Ultima asignacion 20% circuito digital Samuel Movilio
 
Decodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDecodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus II
 
Ej controlab observab
Ej controlab observabEj controlab observab
Ej controlab observab
 
Reloj digital
Reloj digitalReloj digital
Reloj digital
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
 
Reloj digital
Reloj digital Reloj digital
Reloj digital
 
Ejercicios de electronica digital
Ejercicios de electronica digitalEjercicios de electronica digital
Ejercicios de electronica digital
 
Sistemas digitales deco cont multiflexor
Sistemas digitales deco cont multiflexorSistemas digitales deco cont multiflexor
Sistemas digitales deco cont multiflexor
 
3.2 display 7 segmentos v4
3.2 display 7 segmentos v43.2 display 7 segmentos v4
3.2 display 7 segmentos v4
 
Electrónica digital
Electrónica digitalElectrónica digital
Electrónica digital
 
Contador 0 99
Contador 0 99Contador 0 99
Contador 0 99
 
Sistemas digitales combinacionales
Sistemas digitales combinacionalesSistemas digitales combinacionales
Sistemas digitales combinacionales
 
Electronica digital 4º ESO
Electronica digital 4º ESOElectronica digital 4º ESO
Electronica digital 4º ESO
 

Destacado (8)

ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITOESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
 
Seguridad en la ingeniería de software
Seguridad en la ingeniería de software Seguridad en la ingeniería de software
Seguridad en la ingeniería de software
 
Diseño de maquinas de estado
Diseño de maquinas de estadoDiseño de maquinas de estado
Diseño de maquinas de estado
 
Máquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDLMáquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDL
 
Máquinas de Estado
Máquinas de EstadoMáquinas de Estado
Máquinas de Estado
 
Ingenieria de software - Unidad 4 seguridad
Ingenieria de software - Unidad 4 seguridadIngenieria de software - Unidad 4 seguridad
Ingenieria de software - Unidad 4 seguridad
 
Maquinas de Estado Finito
Maquinas de Estado FinitoMaquinas de Estado Finito
Maquinas de Estado Finito
 
Slider Share
Slider  ShareSlider  Share
Slider Share
 

Similar a ⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)

Electrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLElectrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLSANTIAGO PABLO ALBERTO
 
Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)SANTIAGO PABLO ALBERTO
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)Victor Asanza
 
Como diseñar empleando PLC, neumática y electroneumática
Como diseñar empleando PLC, neumática y electroneumática Como diseñar empleando PLC, neumática y electroneumática
Como diseñar empleando PLC, neumática y electroneumática SANTIAGO PABLO ALBERTO
 
Proyecto brazo robotico ksr10 enero marzo 2015
Proyecto brazo robotico ksr10  enero marzo 2015Proyecto brazo robotico ksr10  enero marzo 2015
Proyecto brazo robotico ksr10 enero marzo 2015joaquinin1
 
Proyecto brazo robotico ksr10 enero marzo 2015
Proyecto brazo robotico ksr10  enero marzo 2015Proyecto brazo robotico ksr10  enero marzo 2015
Proyecto brazo robotico ksr10 enero marzo 2015joaquinin1
 
Ejemplos 4to Examen Mci
Ejemplos 4to Examen MciEjemplos 4to Examen Mci
Ejemplos 4to Examen MciLuis Zurita
 
2 6%2 b_implementacionasm
2 6%2 b_implementacionasm2 6%2 b_implementacionasm
2 6%2 b_implementacionasmDavu Argen
 
Ejercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de InterrupcionesEjercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de InterrupcionesJaime E. Velarde
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoSANTIAGO PABLO ALBERTO
 
Sistema control-digital[1]
Sistema control-digital[1]Sistema control-digital[1]
Sistema control-digital[1]alex246
 
Informe N°1-Microcontroladores
Informe N°1-MicrocontroladoresInforme N°1-Microcontroladores
Informe N°1-MicrocontroladoresOmar Ruiz
 
5.manual de reorientacion de antenas v.2013 1-rev
5.manual de reorientacion de antenas v.2013 1-rev5.manual de reorientacion de antenas v.2013 1-rev
5.manual de reorientacion de antenas v.2013 1-revBecker H. Santiago Bonilla
 
Tools and equipment 2 textbook spanish
Tools and equipment 2 textbook spanishTools and equipment 2 textbook spanish
Tools and equipment 2 textbook spanishruth1001
 
Problema resuelto de Maquinas de estado
Problema resuelto de Maquinas de estadoProblema resuelto de Maquinas de estado
Problema resuelto de Maquinas de estadoHugoDanteGarciaMunoz
 
Manual para programar brazo robotico industrial nachi
Manual para programar brazo robotico industrial nachiManual para programar brazo robotico industrial nachi
Manual para programar brazo robotico industrial nachiJuan Camarena
 
Curso de microcontroladores capitulo 12
Curso de microcontroladores capitulo 12Curso de microcontroladores capitulo 12
Curso de microcontroladores capitulo 12Hamiltonn Casallas
 

Similar a ⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial) (20)

Electrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLElectrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDL
 
Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
 
Como diseñar empleando PLC, neumática y electroneumática
Como diseñar empleando PLC, neumática y electroneumática Como diseñar empleando PLC, neumática y electroneumática
Como diseñar empleando PLC, neumática y electroneumática
 
Proyecto brazo robotico ksr10 enero marzo 2015
Proyecto brazo robotico ksr10  enero marzo 2015Proyecto brazo robotico ksr10  enero marzo 2015
Proyecto brazo robotico ksr10 enero marzo 2015
 
Proyecto brazo robotico ksr10 enero marzo 2015
Proyecto brazo robotico ksr10  enero marzo 2015Proyecto brazo robotico ksr10  enero marzo 2015
Proyecto brazo robotico ksr10 enero marzo 2015
 
Ejemplos 4to Examen Mci
Ejemplos 4to Examen MciEjemplos 4to Examen Mci
Ejemplos 4to Examen Mci
 
2 6%2 b_implementacionasm
2 6%2 b_implementacionasm2 6%2 b_implementacionasm
2 6%2 b_implementacionasm
 
Ejercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de InterrupcionesEjercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de Interrupciones
 
Bitacora3
Bitacora3Bitacora3
Bitacora3
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estado
 
Sistema control-digital[1]
Sistema control-digital[1]Sistema control-digital[1]
Sistema control-digital[1]
 
Manual danfoss fc301 302
Manual danfoss fc301 302Manual danfoss fc301 302
Manual danfoss fc301 302
 
Informe N°1-Microcontroladores
Informe N°1-MicrocontroladoresInforme N°1-Microcontroladores
Informe N°1-Microcontroladores
 
5.manual de reorientacion de antenas v.2013 1-rev
5.manual de reorientacion de antenas v.2013 1-rev5.manual de reorientacion de antenas v.2013 1-rev
5.manual de reorientacion de antenas v.2013 1-rev
 
Tools and equipment 2 textbook spanish
Tools and equipment 2 textbook spanishTools and equipment 2 textbook spanish
Tools and equipment 2 textbook spanish
 
Control lcd 16X2 proton
Control lcd 16X2 protonControl lcd 16X2 proton
Control lcd 16X2 proton
 
Problema resuelto de Maquinas de estado
Problema resuelto de Maquinas de estadoProblema resuelto de Maquinas de estado
Problema resuelto de Maquinas de estado
 
Manual para programar brazo robotico industrial nachi
Manual para programar brazo robotico industrial nachiManual para programar brazo robotico industrial nachi
Manual para programar brazo robotico industrial nachi
 
Curso de microcontroladores capitulo 12
Curso de microcontroladores capitulo 12Curso de microcontroladores capitulo 12
Curso de microcontroladores capitulo 12
 

Más de Victor Asanza

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor AsanzaVictor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning AlgorithmsVictor Asanza
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption PredictionVictor Asanza
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...Victor Asanza
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB Victor Asanza
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6Victor Asanza
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...Victor Asanza
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring StationVictor Asanza
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry PiVictor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)Victor Asanza
 

Más de Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 

Último

Herramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdfHerramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdfMARIAPAULAMAHECHAMOR
 
EXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptx
EXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptxEXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptx
EXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptxPryhaSalam
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptxJunkotantik
 
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxOLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxjosetrinidadchavez
 
Neurociencias para Educadores NE24 Ccesa007.pdf
Neurociencias para Educadores  NE24  Ccesa007.pdfNeurociencias para Educadores  NE24  Ccesa007.pdf
Neurociencias para Educadores NE24 Ccesa007.pdfDemetrio Ccesa Rayme
 
Heinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoHeinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoFundación YOD YOD
 
Introducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo SostenibleIntroducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo SostenibleJonathanCovena1
 
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdfDemetrio Ccesa Rayme
 
EXPECTATIVAS vs PERSPECTIVA en la vida.
EXPECTATIVAS vs PERSPECTIVA  en la vida.EXPECTATIVAS vs PERSPECTIVA  en la vida.
EXPECTATIVAS vs PERSPECTIVA en la vida.DaluiMonasterio
 
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzel CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzprofefilete
 
ACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptx
ACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptxACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptx
ACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptxzulyvero07
 
Resolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdf
Resolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdfResolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdf
Resolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdfDemetrio Ccesa Rayme
 
Lecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdadLecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdadAlejandrino Halire Ccahuana
 
30-de-abril-plebiscito-1902_240420_104511.pdf
30-de-abril-plebiscito-1902_240420_104511.pdf30-de-abril-plebiscito-1902_240420_104511.pdf
30-de-abril-plebiscito-1902_240420_104511.pdfgimenanahuel
 
TEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOS
TEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOSTEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOS
TEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOSjlorentemartos
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosCesarFernandez937857
 
programa dia de las madres 10 de mayo para evento
programa dia de las madres 10 de mayo  para eventoprograma dia de las madres 10 de mayo  para evento
programa dia de las madres 10 de mayo para eventoDiegoMtsS
 
La triple Naturaleza del Hombre estudio.
La triple Naturaleza del Hombre estudio.La triple Naturaleza del Hombre estudio.
La triple Naturaleza del Hombre estudio.amayarogel
 

Último (20)

Herramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdfHerramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdf
 
EXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptx
EXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptxEXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptx
EXPANSIÓN ECONÓMICA DE OCCIDENTE LEÓN.pptx
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptx
 
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxOLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
 
Power Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptxPower Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptx
 
Sesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdfSesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdf
 
Neurociencias para Educadores NE24 Ccesa007.pdf
Neurociencias para Educadores  NE24  Ccesa007.pdfNeurociencias para Educadores  NE24  Ccesa007.pdf
Neurociencias para Educadores NE24 Ccesa007.pdf
 
Heinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoHeinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativo
 
Introducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo SostenibleIntroducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo Sostenible
 
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
 
EXPECTATIVAS vs PERSPECTIVA en la vida.
EXPECTATIVAS vs PERSPECTIVA  en la vida.EXPECTATIVAS vs PERSPECTIVA  en la vida.
EXPECTATIVAS vs PERSPECTIVA en la vida.
 
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzel CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
 
ACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptx
ACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptxACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptx
ACUERDO MINISTERIAL 078-ORGANISMOS ESCOLARES..pptx
 
Resolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdf
Resolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdfResolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdf
Resolucion de Problemas en Educacion Inicial 5 años ED-2024 Ccesa007.pdf
 
Lecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdadLecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdad
 
30-de-abril-plebiscito-1902_240420_104511.pdf
30-de-abril-plebiscito-1902_240420_104511.pdf30-de-abril-plebiscito-1902_240420_104511.pdf
30-de-abril-plebiscito-1902_240420_104511.pdf
 
TEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOS
TEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOSTEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOS
TEMA 13 ESPAÑA EN DEMOCRACIA:DISTINTOS GOBIERNOS
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos Básicos
 
programa dia de las madres 10 de mayo para evento
programa dia de las madres 10 de mayo  para eventoprograma dia de las madres 10 de mayo  para evento
programa dia de las madres 10 de mayo para evento
 
La triple Naturaleza del Hombre estudio.
La triple Naturaleza del Hombre estudio.La triple Naturaleza del Hombre estudio.
La triple Naturaleza del Hombre estudio.
 

⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)

  • 1. PRIMER PARCIAL: MSS + ASM 1 011000010111001101100001011011100111101001100001 01101010011001010110000101101110 Sistemas Digitales II LECCIÓN PROPUESTA SIMULADA SISTEMAS DIGITALES II vasanza
  • 2. NOTA: 2 011000010111001101100001011011100111101001100001 01101010011001010110000101101110 y0y2y1 00 01 11 10 0 1 1 𝐼𝑛 0 1 1 𝐼𝑛 𝐼𝑛 𝐼𝑛 * Para todos los ejercicios usar la siguiente asignación de códigos de estados. * Utilizar Variable Entrante al Mapa (VEM) o Variable Saliente al Mapa (VSM) de ser necesario. y0,Iny2,y1 00 01 11 10 00 1 1 0 0 01 1 1 1 0 11 1 1 0 1 10 1 0 1 0 y1y2 0 1 0 1 𝑦0 . 𝐼𝑛 1 𝑦0 + 𝐼𝑛 𝑦0Ꚛ𝐼𝑛 Asignación de Códigos de Estado. y1y0y3y2 00 01 11 10 00 A E M I 01 B F N J 11 D H P L 10 C G O K Asignación de Códigos de Estado. y0y2y1 00 01 11 10 0 A C G E 1 B D H F vasanzaSistemas Digitales II
  • 3. 3 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 1.) Se tiene una MSS-Master que gobierna el comportamiento de una MSS-Slave tal como se indica en la siguiente gráfica: La MSS-Master deberá primero recibir el tipo de comportamiento que usted desee que realice la MSS-Slave por medio de la señal Mealy/Moore (Mealy-1, Moore-0), luego estará pendiente de la señal Start para empezar a trabajar. Para tener la posibilidad de cambiar el modo de funcionamiento de la MSS-Slave se podrá presionar el botón Stop para que la MSS-Master regrese a su estado inicial. La MSS-Salve según la combinación presente en sus entradas que provee la MSS- Master, se podrá comportar como una maquina modelo Mealy o Moore, la información de su funcionamiento está dada por medio de sus decodificadores de estado siguiente y salida: MSS-Master 𝑺𝒕𝒂𝒓𝒕 𝑴𝒆𝒂𝒍𝒚/𝑴𝒐𝒐𝒓𝒆 MSS-Slave δ λ En2 Ld2 En1 Ld1𝑺𝒕𝒐𝒑 vasanzaSistemas Digitales II
  • 4. 4 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 Se pide: • Hacer el diagrama de estados de la MSS-Slave utilizando el siguiente formato: δ, λ/En2,Ld2,En1,Ld1. (5P) • Obtener el ASM de la MSS-Master. (5P) • Implementar el circuito completo de la MSS-Master utilizando: Memoria de Estados (Usar Registro de Sostenimiento), Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). (5P) • Escriba el código VHDL de la MSS-Master. (5P) • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS- Master. (5P) (MSS-Slave) Decodificador de Estado Siguiente: Y2,Y1,Y0 y0y2,y1 00 01 11 10 0 0, δλ, തδതλ തδλ, δ+തλ, തδതλ 1, 1, 1 തδ + തλ, δλ, δ+തλ 1 δꚚλ, δꚚλ, δꙨλ λ, തλ,തδ + തλ തδ, തδ, തδ 0, 0, 0 (MSS-Slave) Decodificador de Salida: En2, Ld2, En1, Ld1 y0y2y1 00 01 11 10 0 0, 0, 0, 0 0, 0, 1, 0 δതλ, δതλ, തδλ, തδλ 1, 0, 0, 0 1 δതλ, 0, തδλ, 0 0, 0, 1, 1 δλ, 0, തδതλ, 0 1, 1, 0, 0 vasanzaSistemas Digitales II
  • 5. 5 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 2.) Se tiene una MSS-Master que gobierna el comportamiento de dos MSS-Slave tal como se indica en la siguiente gráfica: La MSS-Master en el estado inicial deberá primero recibir la dirección en la que desea usted mover el motor (Izquierda-1, Derecha-0), luego estará pendiente de la señal Start para empezar a trabajar. En el momento en que empieza a hacer girar el motor en cualquiera de las dos direcciones, la MSS-Master estará siempre pendiente de las alertas de Corriente y Temperatura, si cualquiera de estas dos entradas se hace uno la MSS- Master detendrá el motor durante 2 periodos de reloj antes e regresar al estado inicial de forma automática. Para tener la posibilidad de detener al motor y cambiar la dirección de giro se podrá presionar el botón Stop para que la MSS-Master regrese a su estado inicial. MSS Master 𝑺𝒕𝒂𝒓𝒕 𝑫𝒊𝒓𝒆𝒄𝒄𝒊ó𝒏 MSS-Slave Puente H Izq 𝑫𝒆𝒓 δ λ η π 𝑺𝒕𝒐𝒑 vasanza MSS-Slave Sensor 𝑪𝒐𝒓𝒓𝒊𝒆𝒏𝒕𝒆 𝑻𝒆𝒎𝒑. µ1 µ0 ϕ1 ϕ0 Motor Paso Sistemas Digitales II
  • 6. 6 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 (MSS-Slave Sensor) Decodificador de Estado Siguiente: Y2,Y1,Y0 y0y2,y1 00 01 11 10 0 ϕ0 ϕ1 μ0 μ1, ϕ0(ϕ1+μ0), ϕ0 + ϕ1μ0 μ1, μ1+μ0, 0 0, 0, 0 1, ϕ0, ϕ0 1 μ0μ1, μ0 μ1, 1 ϕ0, 1, 1 0, 0, 0 0, 0, 0 (MSS-Slave Sensor) Decodificador de Salida: Corriente, Temp. y0y2y1 00 01 11 10 0 0, 0 0, 0 1, 0 0, 0 1 0, 0 0, 0 ϕ1, ϕ1 0, 1 vasanza La MSS-Salve(Sensor) según la combinación presente en sus entradas que proveen sensores presentes en el motor, informará a la MSS-Master cuando existe un exceso de corriente o de temperatura. Esto se muestra en los decodificadores siguientes: La MSS-Salve(Puente H) le permite a la MSS-Master controlar la dirección del movimiento del motor a una velocidad constante. Esto se muestra en los siguientes decodificadores: (MSS-Slave Puente H) Decodificador Est. Sig.: Y2,Y1,Y0 y0y2,y1 00 01 11 10 0 0, Izq Der, Izq + Der 0, 0, Izq 1, 0, 1 0, Der, Der 1 0, 1, 0 1, 0, 0 1, 1, 0 0, 0, 0 Sistemas Digitales II
  • 7. 7 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 Se pide: • Hacer el diagrama de estados de la MSS-Slave (Sensores) utilizando el siguiente formato: μ1, μ0, ϕ1, ϕ0 /Corriente, Temp. (5P) • Hacer el diagrama ASM de la MSS-Slave (Puente H). (5p) • Obtener el diagrama ASM de la MSS-Master. (5P) • Implementar el circuito completo de la MSS-Master utilizando: Memoria de Estados (Usar Registro de Sostenimiento), Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). (5P) • Escriba el código VHDL de la MSS-Master. (5P) • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS- Master. (5P) (MSS-Slave Puente H) Decodificador de Salida: δ, λ, η, π y0y2y1 00 01 11 10 0 0, 0, 0, 0 0, 1, 0, 1 1, 0, 0, 1 1, 0, 1, 0 1 1, 0, 1, 0 0, 1, 0, 1 1, 1, 1, 0 0, 1, 0 ,1 vasanzaSistemas Digitales II