SlideShare una empresa de Scribd logo
1 de 29
Descargar para leer sin conexión
PRIMER PARCIAL:
MSS + ASM
1
011000010111001101100001011011100111101001100001
01101010011001010110000101101110
Sistemas Digitales II vasanza
EJERCICIOS PROPUESTOS
SISTEMAS DIGITALES II
NOTA:
2
011000010111001101100001011011100111101001100001
01101010011001010110000101101110
y0y2y1 00 01 11 10
0 1 1 0
1 1
* Para todos los ejercicios usar la siguiente asignación de códigos de estados.
* Utilizar Variable Entrante al Mapa (VEM) de ser necesario.
y0,Iny2,y1 00 01 11 10
00 1 1 0 0
01 1 1 1 0
11 1 1 0 1
10 1 0 1 0
y1y2 0 1
0 1 .
1 + Ꚛ
Asignación de Códigos de Estado.
y0y2y1 00 01 11 10
0 A C G E
1 B D H F
vasanzaSistemas Digitales II
3
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
1.) Dado los siguientes Mapas Karnaugh (MK) de los decodificadores de estado siguiente
y salida de una MSS, se pide:
• Hacer el diagrama de estados simplificado. Formato: X,Y/OK
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
Y2: y0y2y1 00 01 11 10
0 0 1 0 0
1 X xor Y X xor Y 1 1
Y1: y0y2y1 00 01 11 10
0 0 X xnor Y 0 0
1 X xnor Y 1 0 1
Y0: y0y2y1 00 01 11 10
0 XY 0 0 0
1 1 X xor Y 0 1
OK: y0y2y1 00 01 11 10
0 0 0 X xnor Y 0
1 0 0 0 0
Decodificador de Estados Siguiente:
Decodificador de Salida:
MSS
OK
vasanzaSistemas Digitales II
4
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
2.) Dado el siguiente código VDHL de una MSS modelo MOORE, se pide:
• Hacer el diagrama de estados primitivo y demostrar con la tabla de estados
presentes - siguientes que no existen estados equivalentes. Formato: DG1,DG2/S.
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
MSS
S
vasanzaSistemas Digitales II
5
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
3.) Dada la siguiente MSS, se pide:
• Hacer el diagrama de estados reducido y demostrar con la tabla de estados
presentes - siguientes que no existen estados equivalentes. Formato: A,B/HP.
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
MSS
HP
Decodificador de Estados Siguiente:
Decodificador de Salida:
vasanzaSistemas Digitales II
6
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
4.) Dado el siguiente circuito de del decodificador de estado siguiente de una MSS y
código VHDL del decodificador de salida, se pide:
• Diagrama de estados reducido y demostrar con la tabla de estados presentes -
siguientes que no existen estados equivalentes. Formato: x1,x2/Q1,Q2.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
MSS
x1 Q1
Q2
vasanzaSistemas Digitales II
7
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
5.) Dado el siguiente diagrama de tiempo del funcionamiento de una MSS, se pide:
• Hacer el diagrama de estados simplificado. Formato: In1,In2/Salida.
• Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los
decodificadores de estados siguiente y salida.
• Implementar el circuito completo de la MSS usando puertas lógicas.
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
MSS
Salida
vasanzaSistemas Digitales II
8
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
6.) Dado el siguiente código VDHL de una MSS modelo MOORE, se pide:
• Hacer el diagrama ASM.
• Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los
decodificadores de estados siguiente y salida.
• Implementar el circuito completo de la MSS usando puertas lógicas.
MSS
x1 Q1
Q2
vasanzaSistemas Digitales II
9
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
7.) Dada la siguiente MSS se pide:
• Diagrama de estados reducido y demostrar con la tabla de estados presentes -
siguientes que no existen estados equivalentes. Formato: A,B/SP1,SP2.
• Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los
decodificadores de estados siguiente y salida.
• Implementar el circuito completo de la MSS usando puertas lógicas.
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
MSS
SP1
SP2
Decodificador de Estados Siguiente:
Decodificador de Salida:
vasanzaSistemas Digitales II
10
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
8.) Dado el siguiente diagrama de tiempo de una MSS, se pide:
• Hacer el diagrama de estados simplificado y demostrar con la tabla de estados
presentes - siguientes que no existen estados equivalentes. Formato: k/x,y.
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
MSS
k
x
y
vasanzaSistemas Digitales II
11
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
9.) De la siguiente MSS se pide:
• Hacer el Diagrama de estados reducido y demostrar con la tabla de estados
presentes - siguientes que no existen estados equivalentes. Formato: W,P/X,T.
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 4 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
P
MSS
X
T
Decodificador de Estados Siguiente:
Decodificador de Salida:
vasanzaSistemas Digitales II
12
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
10.) Del siguiente diagrama de estados primitivos de una MSS, se pide:
• Obtener el diagrama de estados reducido y el diagrama ASM.
• Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los
decodificadores de estados siguiente y salida.
• Implementar el circuito completo de la MSS usando puertas lógicas.
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el de salidas.
MSS Out
vasanzaSistemas Digitales II
13
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
11.) Diseñe una MSS modelo MOORE que controla la operación de un sistema
eficiencia energética/alarma de hogar. Inicialmente se debe presionar y soltar el botón
power, luego de lo cual la alarma pasa al estado activación. Si se vuelve a presionar y
soltar power, la alarma regresa al estado inicial.
Durante el estado de activación, se deberá seleccionar el modo en que deseamos que
trabaje el sistema (eficiencia energética/alarma), si el usuario presiona y suelta una vez
el botó modo el sistema actúa como alarma de hogar, pero si el botón es presionado y
soltado otra vez más el sistema actúa como un sistema de eficiencia energética.
Estando en modo eficiencia energética podemos también pasar a modo alarma
presionando y soltando una vez el botón modo. En cualquiera de los dos modos de
operación del sistema, si queremos regresar al estado inicial lo podemos hacer
presionando y soltando una vez el botón power.
El sistema en modo alarma activa el actuador que energiza la sirena cuando detecta
que el sensor de puerta (sensor magnético on/off) o el de presencia (sensor
piroeléctrico on/off) tienen un valor lógico de ‘1’ es decir cuando se detecta que la
puerta a sido abierta o cuando hay alguna persona en la sala del hogar. Además en
caso de que los dueños del hogar estén padeciendo un robo ellos pueden presionar y
soltar un botón de pánico el mismo que generará una señal que a través de un
actuador GSM realice una llamada al ECU911 notificando que son victimas de un robo.
La única forma de desactivar el estado de alarma (sirena ó gsm) es presionando y
soltando una vez el botón inicio.
vasanzaSistemas Digitales II
14
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
El sistema en modo eficiencia energética ayuda al usuario a activar o desactivar una
señal que controla a través de un actuador de carga AC el enciende o apagado de las
luminarias de la sala del hogar. Para ello el sistema solo considerará el sensor de
presencia de la siguiente forma: Si hay presencia de personas en la sala la luz se
enciende, caso contrario se apagarán automáticamente. Se pide:
• Presentar el Diagrama de Estados simplificado del sistema. (Formato: Power, Modo,
Inicio, Puerta, Presencia, Pánico / Sirena, Luminaria, GSM).
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
vasanzaSistemas Digitales II
15
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
12.) Dado el siguiente diagrama
ASM de una MSS, presente:
• Los mapas decodificadores de
estado siguiente y la expresión
de salida.
• La implementación con
multiplexores de 4 a 1 para el
decodificador de Estado
Siguiente y Puertas lógicas para
el decodificador de salida.
• Escribir el código VHDL completo
de la MSS, usar un process para
decodificador de estados
siguiente–memoria de estados y
un process para el decodificador
de salidas.
a 00
S1
S2S2
I
b 01
I
S1
T
c 11
I
S2
Q
d 10
I
S1S2 S2
I,T
I,Q
Q
Q
T
T
V
F
V F
V F
F
V
F
V
F VF
V
V
FMSS
Q
I
T
vasanzaSistemas Digitales II
16
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
13.) Dado el decodificador de estado siguiente y de salida de una MSS, se pide:
• Hacer el Diagrama de estados reducido y demostrar con la tabla de estados
presentes - siguientes que no existen estados equivalentes.
Formato: In/ , , .
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
Decodificador de Estados Siguiente:
Decodificador de Salida:
+
Ꚛ
Ꚛ
MSS
vasanzaSistemas Digitales II
17
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
14.) Dado el decodificador de estado siguiente y de salida de una MSS, se pide:
• Hacer el Diagrama de estados reducido y demostrar con la tabla de estados
presentes - siguientes que no existen estados equivalentes.
Formato: /Out, .
• Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los
decodificadores de estados siguiente y salida.
• Implementar el circuito completo de la MSS usando puertas lógicas.
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el de salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
Decodificador de Estados Siguiente:
Decodificador de Salida: MSS
Out
Ok
vasanzaSistemas Digitales II
18
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
15.) Se desea diseñar una MSS cuyo
objetivo es permitir a un robot
encontrar la salida del laberinto. El
robot dispone de dos sensores
infrarrojos izquierda y derecha (I y D),
que están en uno si el sensor respectivo
detecta las paredes del laberinto; y se
colocan en cero si dejan de detectar
(Son las entradas a la máquina de
estados finitos). El robot también
dispone de tres señales de actuación,
que son las salidas de la MSS, una señal
para avanzar hacia delante A; otra para
doblar hacia la izquierda DI; y otra para
doblar hacia la derecha DD. La
estrategia para diseñar el controlador
del robot es mantener la pared a la
derecha del robot.
vasanzaSistemas Digitales II
19
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
Presentar:
• Hacer el Diagrama de estados modelo MOORE reducido y demostrar con la tabla
de estados presentes - siguientes que no existen estados equivalentes.
Formato: I,D / A,DI,DD.
• Implementación el circuito completo de la MSS: Memoria de Estados,
Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador
de estados siguiente–memoria de estados y un process para el decodificador de
salidas.
vasanzaSistemas Digitales II
20
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
16.) Realizar la implementación de una máquina modelo Mealy que trabaja como
encoder óptico de dos bits para detectar la dirección de giro de un motor Brushless. El
encoder detecta el desfase de las dos señales para detectar la dirección de giro del
motor. Para determinar la dirección es importante detectar la secuencia indicada en el
gráfico de las señales S2 y S1 (Este es el ejemplo de dirección en un sentido). Luego si
las dos señales son bajas (0) o si se repite la secuencia se mantiene generando la señal
de dirección de giro, en caso de detectar que las dos señales sean alta (1) o una
secuencia diferente, se deberá regresa al estado inicial desactivando la señal (0) de
dirección de giro. En cualquier momento que ambas señales sean altas (1) se regresará
al estado inicial desactivando las señales (0) de dirección de giro.
Se pide:
• Hacer el Diagrama de estados modelo MOORE reducido y demostrar con la tabla
de estados presentes - siguientes que no existen estados equivalentes.
Formato:
• Dibujar el Diagrama ASM.
vasanzaSistemas Digitales II
21
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
17.) Dado el siguiente circuito decodificador de estado siguiente y salida con el
formato, .
Se pide:
• Mapas Karnaugh del decodificador de estado siguiente y salida.
• Hacer el Diagrama ASM.
• Escribir el código VHDL completo de la MSS, usar un process para decodificador
de estados siguiente–memoria de estados y un process para el de salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
vasanzaSistemas Digitales II
22
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
18.) Dado los siguientes Mapas Karnaugh (MK) de los decodificadores de estado
siguiente y salida de una MSS, se pide:
• Diagrama ASM.
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 2 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
:  00 01 11 10
0 0 ∅
1 1 0 0 ∅
:  00 01 11 10
0 1 ∅
1 1 0 1 ∅
:  00 01 11 10
0 0 0 0
1 0 1 1 0
MSS
OK
Decodificador de Estados Siguiente:
Decodificador de Salida:
vasanzaSistemas Digitales II
23
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
19.) Dadas las siguientes expresiones que representan el contenido booleano de
Mapas Karnaugh de una MSS, se pide:
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Dibujar el diagrama ASM.
= 14,15,29,31 + (16 − 27)
 
∅
 
= 7 − 9,12 − 15,29,31 + (16 − 27)
 
∅
 
= 2,3,6,7,10,11,14,15,29,31 + (16 − 27)
 
∅
 
= ∑ 0,3 + ∑ (4,5,6) 
∅
 
= 0,1,7 + (4,5,6)
 
∅
 
= (0,1,2,3,7)
 
+ (4,5,6)
 
∅
= 0,1,2,3 + (4,5,6)
 
∅
 
Decodificador de Estados Siguiente:
Decodificador de Salida:
MSS
Led
Formato:
vasanzaSistemas Digitales II
24
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
20.) Dado el siguiente Diagrama de Tiempos de una MSS:
Se pide:
• Diagrama ASM.
• Implementación el circuito completo de la MSS: Memoria de Estados,
Decodificador de Estado Siguientes y Salida (Usar Multiplexores 2 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador
de estados siguiente–memoria de estados y un process para el decodificador de
salidas.
MSS
Start
J
V
vasanzaSistemas Digitales II
25
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
21.) Diseñar una MSS modelo MOORE que controla un sistema CONTADOR DE
PERSONAS EN UN AUTOBÚS, para dar inicio se debe presiona y soltar el botón de
START. El circuito debe tener tres botones, dos de ellos representan los sensores a un
lado de la puerta de ingreso de un autobús, el tercer botón permitirá hacer un reset
que pone en cero el contador. Una vez presionada la tecla START (ST) el controlador
detectará el ingreso o salida de una persona, el orden de detección de los sensores es:
Entradas (Sensores) Salidas
Escenario 1 Escenario 2 Escenario 3 Out1
(ingreso)
Out2
(salida)S1 S2 S1 S2 S1 S2
1 0 1 1 0 1 1 0
1 0 0 0 0 1 1 0
0 1 1 1 1 0 0 1
0 1 0 0 1 0 0 1
NOTA: El escenario cero y cuatro son con valores S1=0 y S2=0.
Se pide:
• Dibujar el diagrama de estados primitivo con el formato: S1,S2/Out1,Out2.
• Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los
decodificadores de estados siguiente y salida.
• Implementar el circuito completo de la MSS usando puertas lógicas.
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
vasanzaSistemas Digitales II
26
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
22.) Diseñar una MSS modelo MOORE que controla un sistema CONTADOR DE
PERSONAS EN UN AUTOBÚS, para dar inicio se debe presiona y soltar el botón de
START. El circuito debe tener tres botones, dos de ellos representan los sensores a un
lado de la puerta de ingreso de un autobús, el tercer botón permitirá hacer un reset
que pone en cero el contador. Una vez presionada la tecla START (ST) el controlador
detectará el ingreso o salida de una persona, el orden de detección de los sensores es:
Muestreos de la señal de 1bit ISS Salidas
1er 2da 3ra 4ta MA MB
0 1 1 0 0 0
1 0 0 1 1 1
1 1 0 0 1 0
0 0 1 1 0 1
NOTA: Revisar la tabla de forma horizontal.
Se pide:
• Dibujar el diagrama de estados primitivo con el formato: ISS/MA,MB.
• Implementación el circuito completo de la MSS: Memoria de Estados,
Decodificador de Estado Siguientes y Salida (Usar Multiplexores 4 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
vasanzaSistemas Digitales II
27
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
23.) Dado los siguientes decodificadores de estado siguiente y decodificadores de
salida de una MSS que funciona como comparadora de tramas de 5 dígitos, se pide:
• Hacer el diagrama de estados simplificado y demostrar con la tabla de estados
presentes - siguientes que no existen estados equivalentes. Formato: A,B/Fin.
• Hacer la representación del circuito completo de la MSS que incluye: Memoria de
Estados, Decodificador de Estado Siguientes y Decodificador de Salida (usar
multiplexores 8 a 1).
Y2: y0y2y1 00 01 11 10
0 A + B 1 0 0
1 1 B 1 A
Y1: y0y2y1 00 01 11 10
0 0 B 0 0
1 A A + B 1 1
Y0: y0y2y1 00 01 11 10
0 A 0 0 0
1 1 0 B 1
Fin: y0y2y1 00 01 11 10
0 0 1 1 B
1 1 A B 0
Decodificador de Estados Siguiente:
Decodificador de Salida:
MSS Fin
vasanzaSistemas Digitales II
28
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
24.) Dado el decodificador de estado siguiente y de salida de una MSS, se pide:
• Diagrama ASM.
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 2 a 1).
• Escribir el código VHDL completo de la MSS, usar un process para decodificador de
estados siguiente–memoria de estados y un process para el decodificador de
salidas.
• Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS.
MSS
Ex
Decodificador de Estados Siguiente:
Decodificador de Salida:
vasanzaSistemas Digitales II
29
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
25.) Dadas las siguientes expresiones que representan el contenido booleano de
Mapas Karnaugh de una MSS, se pide:
• Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador
de Estado Siguientes y Salida (Usar Multiplexores 8 a 1).
• Dibujar el diagrama ASM.
= 1,2,7 + (4,5,6)
 
∅
 
= 2,3 + (4,5,6)
 
∅
 
= (4,5,6)
 
∅
= 7 + (4,5,6)
 
∅
 
= 4,5,29,31 + (16 − 27)
 
∅
 
= 7 − 9,12 − 14,29,31 + (16 − 27)
 
∅
 
= 2,3,6,7,10,11,14,15,29,31 + (16 − 27)
 
∅
 
Decodificador de Estados Siguiente:
Decodificador de Salida:
MSS
Formato:
vasanzaSistemas Digitales II

Más contenido relacionado

La actualidad más candente

Diagrama de bode camilo castro duarte
Diagrama de bode camilo castro duarteDiagrama de bode camilo castro duarte
Diagrama de bode camilo castro duarteCamilo Castro Duarte
 
Codificación convolucional
Codificación convolucionalCodificación convolucional
Codificación convolucionalÁngel María
 
Amplificador Source Comun
Amplificador Source ComunAmplificador Source Comun
Amplificador Source ComunBertha Vega
 
Ejercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_daEjercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_daCesar Gil Arrieta
 
Modulador y demodulador ask
Modulador y demodulador askModulador y demodulador ask
Modulador y demodulador askIsrael Chala
 
Multiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digitalMultiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digitalIsrael Magaña
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificadorEver Omar Nolasco
 
Programacion en WinCupl
Programacion en WinCuplProgramacion en WinCupl
Programacion en WinCuplGilbert_28
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DCristian Rodriguez
 
Lugar geométrico de las raices control 1
Lugar geométrico de las raices control 1Lugar geométrico de las raices control 1
Lugar geométrico de las raices control 1Marvin Pariona
 
El método del lugar de las raíces
El método del lugar de las raícesEl método del lugar de las raíces
El método del lugar de las raícesBrady Martinez
 

La actualidad más candente (20)

MARLON
MARLONMARLON
MARLON
 
Transistor BJT
Transistor BJTTransistor BJT
Transistor BJT
 
La punta lógica
La punta lógicaLa punta lógica
La punta lógica
 
Unidad3
Unidad3Unidad3
Unidad3
 
Diagrama de bode camilo castro duarte
Diagrama de bode camilo castro duarteDiagrama de bode camilo castro duarte
Diagrama de bode camilo castro duarte
 
Codificación convolucional
Codificación convolucionalCodificación convolucional
Codificación convolucional
 
Amplificador Source Comun
Amplificador Source ComunAmplificador Source Comun
Amplificador Source Comun
 
Contg5
Contg5Contg5
Contg5
 
Ejercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_daEjercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_da
 
Modulador y demodulador ask
Modulador y demodulador askModulador y demodulador ask
Modulador y demodulador ask
 
Multiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digitalMultiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digital
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
 
Practica 7 Flip Flop
Practica 7 Flip FlopPractica 7 Flip Flop
Practica 7 Flip Flop
 
Osciladores
OsciladoresOsciladores
Osciladores
 
Programacion en WinCupl
Programacion en WinCuplProgramacion en WinCupl
Programacion en WinCupl
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
 
Conexión darlington transistor
Conexión darlington transistorConexión darlington transistor
Conexión darlington transistor
 
Lugar geométrico de las raices control 1
Lugar geométrico de las raices control 1Lugar geométrico de las raices control 1
Lugar geométrico de las raices control 1
 
El método del lugar de las raíces
El método del lugar de las raícesEl método del lugar de las raíces
El método del lugar de las raíces
 
Electrónica digital: Comparadores
Electrónica digital: ComparadoresElectrónica digital: Comparadores
Electrónica digital: Comparadores
 

Similar a PRIMER PARCIAL: MSS + ASM

⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)Victor Asanza
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoSANTIAGO PABLO ALBERTO
 
Ejemplos 4to Examen Mci
Ejemplos 4to Examen MciEjemplos 4to Examen Mci
Ejemplos 4to Examen MciLuis Zurita
 
2 6%2 b_implementacionasm
2 6%2 b_implementacionasm2 6%2 b_implementacionasm
2 6%2 b_implementacionasmDavu Argen
 
Electrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLElectrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLSANTIAGO PABLO ALBERTO
 
Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18Jorge Microelectrónica
 
⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)Victor Asanza
 
Matriz de LEDs + Interfaz Grafica con GTK en Linux
Matriz de LEDs + Interfaz Grafica con GTK en LinuxMatriz de LEDs + Interfaz Grafica con GTK en Linux
Matriz de LEDs + Interfaz Grafica con GTK en LinuxSNPP
 
Decodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDecodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDiego Pezo
 
PLC y electroneumática: Ejemplos de PLC
 PLC y electroneumática: Ejemplos de PLC PLC y electroneumática: Ejemplos de PLC
PLC y electroneumática: Ejemplos de PLCSANTIAGO PABLO ALBERTO
 
Ejercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de InterrupcionesEjercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de InterrupcionesJaime E. Velarde
 

Similar a PRIMER PARCIAL: MSS + ASM (20)

⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Talleres Sistemas Digitales MSS (1er Parcial)
 
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
 
⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Sistemas Digitales MSS (1er Parcial)
 
⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Tema Lección Segundo Parcial (2do Parcial)
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estado
 
Control lcd 16X2 proton
Control lcd 16X2 protonControl lcd 16X2 proton
Control lcd 16X2 proton
 
Ultima asignacion 20% circuito digital Samuel Movilio
Ultima asignacion 20% circuito digital Samuel MovilioUltima asignacion 20% circuito digital Samuel Movilio
Ultima asignacion 20% circuito digital Samuel Movilio
 
Ejemplos 4to Examen Mci
Ejemplos 4to Examen MciEjemplos 4to Examen Mci
Ejemplos 4to Examen Mci
 
2 6%2 b_implementacionasm
2 6%2 b_implementacionasm2 6%2 b_implementacionasm
2 6%2 b_implementacionasm
 
Electrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLElectrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDL
 
Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18
 
⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)
⭐⭐⭐⭐⭐ Lecciones Segundo Parcial (2do Parcial)
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
 
Matriz de LEDs + Interfaz Grafica con GTK en Linux
Matriz de LEDs + Interfaz Grafica con GTK en LinuxMatriz de LEDs + Interfaz Grafica con GTK en Linux
Matriz de LEDs + Interfaz Grafica con GTK en Linux
 
Decodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDecodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus II
 
PLC y electroneumática: Ejemplos de PLC
 PLC y electroneumática: Ejemplos de PLC PLC y electroneumática: Ejemplos de PLC
PLC y electroneumática: Ejemplos de PLC
 
Guia step7 2017
Guia step7 2017Guia step7 2017
Guia step7 2017
 
Flip-Flop
Flip-FlopFlip-Flop
Flip-Flop
 
Curso-de-PLC.pdf
Curso-de-PLC.pdfCurso-de-PLC.pdf
Curso-de-PLC.pdf
 
Ejercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de InterrupcionesEjercicio 07 adicionales de Interrupciones
Ejercicio 07 adicionales de Interrupciones
 

Más de Victor Asanza

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor AsanzaVictor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning AlgorithmsVictor Asanza
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption PredictionVictor Asanza
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...Victor Asanza
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB Victor Asanza
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6Victor Asanza
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...Victor Asanza
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring StationVictor Asanza
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry PiVictor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)Victor Asanza
 

Más de Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 

Último

ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAJAVIER SOLIS NOYOLA
 
plan de capacitacion docente AIP 2024 clllll.pdf
plan de capacitacion docente  AIP 2024          clllll.pdfplan de capacitacion docente  AIP 2024          clllll.pdf
plan de capacitacion docente AIP 2024 clllll.pdfenelcielosiempre
 
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxTECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxKarlaMassielMartinez
 
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdfEjercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdfMaritzaRetamozoVera
 
PLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxPLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxlupitavic
 
Qué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaQué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaDecaunlz
 
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptxSEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptxYadi Campos
 
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.Alejandrino Halire Ccahuana
 
Dinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dDinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dstEphaniiie
 
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdfDemetrio Ccesa Rayme
 
Historia y técnica del collage en el arte
Historia y técnica del collage en el arteHistoria y técnica del collage en el arte
Historia y técnica del collage en el arteRaquel Martín Contreras
 
La empresa sostenible: Principales Características, Barreras para su Avance y...
La empresa sostenible: Principales Características, Barreras para su Avance y...La empresa sostenible: Principales Características, Barreras para su Avance y...
La empresa sostenible: Principales Características, Barreras para su Avance y...JonathanCovena1
 
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VSOCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VSYadi Campos
 
Sesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxSesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxMaritzaRetamozoVera
 
Registro Auxiliar - Primaria 2024 (1).pptx
Registro Auxiliar - Primaria  2024 (1).pptxRegistro Auxiliar - Primaria  2024 (1).pptx
Registro Auxiliar - Primaria 2024 (1).pptxFelicitasAsuncionDia
 
Neurociencias para Educadores NE24 Ccesa007.pdf
Neurociencias para Educadores  NE24  Ccesa007.pdfNeurociencias para Educadores  NE24  Ccesa007.pdf
Neurociencias para Educadores NE24 Ccesa007.pdfDemetrio Ccesa Rayme
 
Estrategia de prompts, primeras ideas para su construcción
Estrategia de prompts, primeras ideas para su construcciónEstrategia de prompts, primeras ideas para su construcción
Estrategia de prompts, primeras ideas para su construcciónLourdes Feria
 

Último (20)

ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
 
plan de capacitacion docente AIP 2024 clllll.pdf
plan de capacitacion docente  AIP 2024          clllll.pdfplan de capacitacion docente  AIP 2024          clllll.pdf
plan de capacitacion docente AIP 2024 clllll.pdf
 
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxTECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
 
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdfEjercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
 
PLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxPLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docx
 
Qué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaQué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativa
 
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptxSEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
 
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
 
Dinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dDinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes d
 
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
 
Historia y técnica del collage en el arte
Historia y técnica del collage en el arteHistoria y técnica del collage en el arte
Historia y técnica del collage en el arte
 
La empresa sostenible: Principales Características, Barreras para su Avance y...
La empresa sostenible: Principales Características, Barreras para su Avance y...La empresa sostenible: Principales Características, Barreras para su Avance y...
La empresa sostenible: Principales Características, Barreras para su Avance y...
 
Medición del Movimiento Online 2024.pptx
Medición del Movimiento Online 2024.pptxMedición del Movimiento Online 2024.pptx
Medición del Movimiento Online 2024.pptx
 
Sesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronósticoSesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronóstico
 
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VSOCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
 
Unidad 3 | Metodología de la Investigación
Unidad 3 | Metodología de la InvestigaciónUnidad 3 | Metodología de la Investigación
Unidad 3 | Metodología de la Investigación
 
Sesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxSesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docx
 
Registro Auxiliar - Primaria 2024 (1).pptx
Registro Auxiliar - Primaria  2024 (1).pptxRegistro Auxiliar - Primaria  2024 (1).pptx
Registro Auxiliar - Primaria 2024 (1).pptx
 
Neurociencias para Educadores NE24 Ccesa007.pdf
Neurociencias para Educadores  NE24  Ccesa007.pdfNeurociencias para Educadores  NE24  Ccesa007.pdf
Neurociencias para Educadores NE24 Ccesa007.pdf
 
Estrategia de prompts, primeras ideas para su construcción
Estrategia de prompts, primeras ideas para su construcciónEstrategia de prompts, primeras ideas para su construcción
Estrategia de prompts, primeras ideas para su construcción
 

PRIMER PARCIAL: MSS + ASM

  • 1. PRIMER PARCIAL: MSS + ASM 1 011000010111001101100001011011100111101001100001 01101010011001010110000101101110 Sistemas Digitales II vasanza EJERCICIOS PROPUESTOS SISTEMAS DIGITALES II
  • 2. NOTA: 2 011000010111001101100001011011100111101001100001 01101010011001010110000101101110 y0y2y1 00 01 11 10 0 1 1 0 1 1 * Para todos los ejercicios usar la siguiente asignación de códigos de estados. * Utilizar Variable Entrante al Mapa (VEM) de ser necesario. y0,Iny2,y1 00 01 11 10 00 1 1 0 0 01 1 1 1 0 11 1 1 0 1 10 1 0 1 0 y1y2 0 1 0 1 . 1 + Ꚛ Asignación de Códigos de Estado. y0y2y1 00 01 11 10 0 A C G E 1 B D H F vasanzaSistemas Digitales II
  • 3. 3 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 1.) Dado los siguientes Mapas Karnaugh (MK) de los decodificadores de estado siguiente y salida de una MSS, se pide: • Hacer el diagrama de estados simplificado. Formato: X,Y/OK • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. Y2: y0y2y1 00 01 11 10 0 0 1 0 0 1 X xor Y X xor Y 1 1 Y1: y0y2y1 00 01 11 10 0 0 X xnor Y 0 0 1 X xnor Y 1 0 1 Y0: y0y2y1 00 01 11 10 0 XY 0 0 0 1 1 X xor Y 0 1 OK: y0y2y1 00 01 11 10 0 0 0 X xnor Y 0 1 0 0 0 0 Decodificador de Estados Siguiente: Decodificador de Salida: MSS OK vasanzaSistemas Digitales II
  • 4. 4 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 2.) Dado el siguiente código VDHL de una MSS modelo MOORE, se pide: • Hacer el diagrama de estados primitivo y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: DG1,DG2/S. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. MSS S vasanzaSistemas Digitales II
  • 5. 5 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 3.) Dada la siguiente MSS, se pide: • Hacer el diagrama de estados reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: A,B/HP. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. MSS HP Decodificador de Estados Siguiente: Decodificador de Salida: vasanzaSistemas Digitales II
  • 6. 6 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 4.) Dado el siguiente circuito de del decodificador de estado siguiente de una MSS y código VHDL del decodificador de salida, se pide: • Diagrama de estados reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: x1,x2/Q1,Q2. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. MSS x1 Q1 Q2 vasanzaSistemas Digitales II
  • 7. 7 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 5.) Dado el siguiente diagrama de tiempo del funcionamiento de una MSS, se pide: • Hacer el diagrama de estados simplificado. Formato: In1,In2/Salida. • Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los decodificadores de estados siguiente y salida. • Implementar el circuito completo de la MSS usando puertas lógicas. • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. MSS Salida vasanzaSistemas Digitales II
  • 8. 8 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 6.) Dado el siguiente código VDHL de una MSS modelo MOORE, se pide: • Hacer el diagrama ASM. • Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los decodificadores de estados siguiente y salida. • Implementar el circuito completo de la MSS usando puertas lógicas. MSS x1 Q1 Q2 vasanzaSistemas Digitales II
  • 9. 9 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 7.) Dada la siguiente MSS se pide: • Diagrama de estados reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: A,B/SP1,SP2. • Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los decodificadores de estados siguiente y salida. • Implementar el circuito completo de la MSS usando puertas lógicas. • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. MSS SP1 SP2 Decodificador de Estados Siguiente: Decodificador de Salida: vasanzaSistemas Digitales II
  • 10. 10 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 8.) Dado el siguiente diagrama de tiempo de una MSS, se pide: • Hacer el diagrama de estados simplificado y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: k/x,y. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. MSS k x y vasanzaSistemas Digitales II
  • 11. 11 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 9.) De la siguiente MSS se pide: • Hacer el Diagrama de estados reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: W,P/X,T. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 4 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. P MSS X T Decodificador de Estados Siguiente: Decodificador de Salida: vasanzaSistemas Digitales II
  • 12. 12 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 10.) Del siguiente diagrama de estados primitivos de una MSS, se pide: • Obtener el diagrama de estados reducido y el diagrama ASM. • Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los decodificadores de estados siguiente y salida. • Implementar el circuito completo de la MSS usando puertas lógicas. • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el de salidas. MSS Out vasanzaSistemas Digitales II
  • 13. 13 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 11.) Diseñe una MSS modelo MOORE que controla la operación de un sistema eficiencia energética/alarma de hogar. Inicialmente se debe presionar y soltar el botón power, luego de lo cual la alarma pasa al estado activación. Si se vuelve a presionar y soltar power, la alarma regresa al estado inicial. Durante el estado de activación, se deberá seleccionar el modo en que deseamos que trabaje el sistema (eficiencia energética/alarma), si el usuario presiona y suelta una vez el botó modo el sistema actúa como alarma de hogar, pero si el botón es presionado y soltado otra vez más el sistema actúa como un sistema de eficiencia energética. Estando en modo eficiencia energética podemos también pasar a modo alarma presionando y soltando una vez el botón modo. En cualquiera de los dos modos de operación del sistema, si queremos regresar al estado inicial lo podemos hacer presionando y soltando una vez el botón power. El sistema en modo alarma activa el actuador que energiza la sirena cuando detecta que el sensor de puerta (sensor magnético on/off) o el de presencia (sensor piroeléctrico on/off) tienen un valor lógico de ‘1’ es decir cuando se detecta que la puerta a sido abierta o cuando hay alguna persona en la sala del hogar. Además en caso de que los dueños del hogar estén padeciendo un robo ellos pueden presionar y soltar un botón de pánico el mismo que generará una señal que a través de un actuador GSM realice una llamada al ECU911 notificando que son victimas de un robo. La única forma de desactivar el estado de alarma (sirena ó gsm) es presionando y soltando una vez el botón inicio. vasanzaSistemas Digitales II
  • 14. 14 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 El sistema en modo eficiencia energética ayuda al usuario a activar o desactivar una señal que controla a través de un actuador de carga AC el enciende o apagado de las luminarias de la sala del hogar. Para ello el sistema solo considerará el sensor de presencia de la siguiente forma: Si hay presencia de personas en la sala la luz se enciende, caso contrario se apagarán automáticamente. Se pide: • Presentar el Diagrama de Estados simplificado del sistema. (Formato: Power, Modo, Inicio, Puerta, Presencia, Pánico / Sirena, Luminaria, GSM). • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. vasanzaSistemas Digitales II
  • 15. 15 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 12.) Dado el siguiente diagrama ASM de una MSS, presente: • Los mapas decodificadores de estado siguiente y la expresión de salida. • La implementación con multiplexores de 4 a 1 para el decodificador de Estado Siguiente y Puertas lógicas para el decodificador de salida. • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. a 00 S1 S2S2 I b 01 I S1 T c 11 I S2 Q d 10 I S1S2 S2 I,T I,Q Q Q T T V F V F V F F V F V F VF V V FMSS Q I T vasanzaSistemas Digitales II
  • 16. 16 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 13.) Dado el decodificador de estado siguiente y de salida de una MSS, se pide: • Hacer el Diagrama de estados reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: In/ , , . • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. Decodificador de Estados Siguiente: Decodificador de Salida: + Ꚛ Ꚛ MSS vasanzaSistemas Digitales II
  • 17. 17 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 14.) Dado el decodificador de estado siguiente y de salida de una MSS, se pide: • Hacer el Diagrama de estados reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: /Out, . • Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los decodificadores de estados siguiente y salida. • Implementar el circuito completo de la MSS usando puertas lógicas. • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. Decodificador de Estados Siguiente: Decodificador de Salida: MSS Out Ok vasanzaSistemas Digitales II
  • 18. 18 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 15.) Se desea diseñar una MSS cuyo objetivo es permitir a un robot encontrar la salida del laberinto. El robot dispone de dos sensores infrarrojos izquierda y derecha (I y D), que están en uno si el sensor respectivo detecta las paredes del laberinto; y se colocan en cero si dejan de detectar (Son las entradas a la máquina de estados finitos). El robot también dispone de tres señales de actuación, que son las salidas de la MSS, una señal para avanzar hacia delante A; otra para doblar hacia la izquierda DI; y otra para doblar hacia la derecha DD. La estrategia para diseñar el controlador del robot es mantener la pared a la derecha del robot. vasanzaSistemas Digitales II
  • 19. 19 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 Presentar: • Hacer el Diagrama de estados modelo MOORE reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: I,D / A,DI,DD. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. vasanzaSistemas Digitales II
  • 20. 20 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 16.) Realizar la implementación de una máquina modelo Mealy que trabaja como encoder óptico de dos bits para detectar la dirección de giro de un motor Brushless. El encoder detecta el desfase de las dos señales para detectar la dirección de giro del motor. Para determinar la dirección es importante detectar la secuencia indicada en el gráfico de las señales S2 y S1 (Este es el ejemplo de dirección en un sentido). Luego si las dos señales son bajas (0) o si se repite la secuencia se mantiene generando la señal de dirección de giro, en caso de detectar que las dos señales sean alta (1) o una secuencia diferente, se deberá regresa al estado inicial desactivando la señal (0) de dirección de giro. En cualquier momento que ambas señales sean altas (1) se regresará al estado inicial desactivando las señales (0) de dirección de giro. Se pide: • Hacer el Diagrama de estados modelo MOORE reducido y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: • Dibujar el Diagrama ASM. vasanzaSistemas Digitales II
  • 21. 21 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 17.) Dado el siguiente circuito decodificador de estado siguiente y salida con el formato, . Se pide: • Mapas Karnaugh del decodificador de estado siguiente y salida. • Hacer el Diagrama ASM. • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. vasanzaSistemas Digitales II
  • 22. 22 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 18.) Dado los siguientes Mapas Karnaugh (MK) de los decodificadores de estado siguiente y salida de una MSS, se pide: • Diagrama ASM. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 2 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. : 00 01 11 10 0 0 ∅ 1 1 0 0 ∅ : 00 01 11 10 0 1 ∅ 1 1 0 1 ∅ : 00 01 11 10 0 0 0 0 1 0 1 1 0 MSS OK Decodificador de Estados Siguiente: Decodificador de Salida: vasanzaSistemas Digitales II
  • 23. 23 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 19.) Dadas las siguientes expresiones que representan el contenido booleano de Mapas Karnaugh de una MSS, se pide: • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Dibujar el diagrama ASM. = 14,15,29,31 + (16 − 27)   ∅   = 7 − 9,12 − 15,29,31 + (16 − 27)   ∅   = 2,3,6,7,10,11,14,15,29,31 + (16 − 27)   ∅   = ∑ 0,3 + ∑ (4,5,6)  ∅   = 0,1,7 + (4,5,6)   ∅   = (0,1,2,3,7)   + (4,5,6)   ∅ = 0,1,2,3 + (4,5,6)   ∅   Decodificador de Estados Siguiente: Decodificador de Salida: MSS Led Formato: vasanzaSistemas Digitales II
  • 24. 24 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 20.) Dado el siguiente Diagrama de Tiempos de una MSS: Se pide: • Diagrama ASM. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 2 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. MSS Start J V vasanzaSistemas Digitales II
  • 25. 25 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 21.) Diseñar una MSS modelo MOORE que controla un sistema CONTADOR DE PERSONAS EN UN AUTOBÚS, para dar inicio se debe presiona y soltar el botón de START. El circuito debe tener tres botones, dos de ellos representan los sensores a un lado de la puerta de ingreso de un autobús, el tercer botón permitirá hacer un reset que pone en cero el contador. Una vez presionada la tecla START (ST) el controlador detectará el ingreso o salida de una persona, el orden de detección de los sensores es: Entradas (Sensores) Salidas Escenario 1 Escenario 2 Escenario 3 Out1 (ingreso) Out2 (salida)S1 S2 S1 S2 S1 S2 1 0 1 1 0 1 1 0 1 0 0 0 0 1 1 0 0 1 1 1 1 0 0 1 0 1 0 0 1 0 0 1 NOTA: El escenario cero y cuatro son con valores S1=0 y S2=0. Se pide: • Dibujar el diagrama de estados primitivo con el formato: S1,S2/Out1,Out2. • Hacer los Mapas Karnaugh y encontrar las expresiones booleanas de los decodificadores de estados siguiente y salida. • Implementar el circuito completo de la MSS usando puertas lógicas. • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. vasanzaSistemas Digitales II
  • 26. 26 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 22.) Diseñar una MSS modelo MOORE que controla un sistema CONTADOR DE PERSONAS EN UN AUTOBÚS, para dar inicio se debe presiona y soltar el botón de START. El circuito debe tener tres botones, dos de ellos representan los sensores a un lado de la puerta de ingreso de un autobús, el tercer botón permitirá hacer un reset que pone en cero el contador. Una vez presionada la tecla START (ST) el controlador detectará el ingreso o salida de una persona, el orden de detección de los sensores es: Muestreos de la señal de 1bit ISS Salidas 1er 2da 3ra 4ta MA MB 0 1 1 0 0 0 1 0 0 1 1 1 1 1 0 0 1 0 0 0 1 1 0 1 NOTA: Revisar la tabla de forma horizontal. Se pide: • Dibujar el diagrama de estados primitivo con el formato: ISS/MA,MB. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 4 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. vasanzaSistemas Digitales II
  • 27. 27 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 23.) Dado los siguientes decodificadores de estado siguiente y decodificadores de salida de una MSS que funciona como comparadora de tramas de 5 dígitos, se pide: • Hacer el diagrama de estados simplificado y demostrar con la tabla de estados presentes - siguientes que no existen estados equivalentes. Formato: A,B/Fin. • Hacer la representación del circuito completo de la MSS que incluye: Memoria de Estados, Decodificador de Estado Siguientes y Decodificador de Salida (usar multiplexores 8 a 1). Y2: y0y2y1 00 01 11 10 0 A + B 1 0 0 1 1 B 1 A Y1: y0y2y1 00 01 11 10 0 0 B 0 0 1 A A + B 1 1 Y0: y0y2y1 00 01 11 10 0 A 0 0 0 1 1 0 B 1 Fin: y0y2y1 00 01 11 10 0 0 1 1 B 1 1 A B 0 Decodificador de Estados Siguiente: Decodificador de Salida: MSS Fin vasanzaSistemas Digitales II
  • 28. 28 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 24.) Dado el decodificador de estado siguiente y de salida de una MSS, se pide: • Diagrama ASM. • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 2 a 1). • Escribir el código VHDL completo de la MSS, usar un process para decodificador de estados siguiente–memoria de estados y un process para el decodificador de salidas. • Dibujar el diagrama de tiempo en el que demuestre todos los estados de la MSS. MSS Ex Decodificador de Estados Siguiente: Decodificador de Salida: vasanzaSistemas Digitales II
  • 29. 29 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 25.) Dadas las siguientes expresiones que representan el contenido booleano de Mapas Karnaugh de una MSS, se pide: • Implementación el circuito completo de la MSS: Memoria de Estados, Decodificador de Estado Siguientes y Salida (Usar Multiplexores 8 a 1). • Dibujar el diagrama ASM. = 1,2,7 + (4,5,6)   ∅   = 2,3 + (4,5,6)   ∅   = (4,5,6)   ∅ = 7 + (4,5,6)   ∅   = 4,5,29,31 + (16 − 27)   ∅   = 7 − 9,12 − 14,29,31 + (16 − 27)   ∅   = 2,3,6,7,10,11,14,15,29,31 + (16 − 27)   ∅   Decodificador de Estados Siguiente: Decodificador de Salida: MSS Formato: vasanzaSistemas Digitales II