SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
vasanza 1
SISTEMAS DIGITALES II
EXAMEN 2do PARCIAL
Fecha: 2018/09/12 I termino 2018-2019
Nombre: _________________________________________________ Paralelo: __________
Problema #x: (x%)
Analizar el siguiente código en lenguaje C:
a) ¿Cal es la función de P en el código anterior?
P es un puntero al doble, lo que significa que puede almacenar la dirección de una
variable de tipo doble. Una vez que tenemos la dirección en p, * p nos dará el valor
disponible en la dirección almacenada en p.
b) ¿Cuál es la impresión que genere el código anterior?
Array values using pointer
*(p + 0) : 1000.000000
*(p + 1) : 2.000000
*(p + 2) : 3.400000
*(p + 3) : 17.000000
*(p + 4) : 50.000000
Array values using balance as address
*(balance + 0) : 1000.000000
*(balance + 1) : 2.000000
*(balance + 2) : 3.400000
*(balance + 3) : 17.000000
*(balance + 4) : 50.000000
vasanza 2
PROBLEMA # 2: (x%)
Considere el siguiente código VHDL de un sistema digital que multiplica dos números de n bits según el
método tradicional aprendido y usado desde la escuela. El usuario ingresa primero el dato A
(multiplicando) y luego el dato B (multiplicador) colocando el dato en la entrada correspondiente y
presionando la tecla LdA y LdB según se ingrese el dato A o B respectivamente. Luego que los datos
han sido ingresados el sistema espera hasta que el usuario presione el botón Start y empieza con el
proceso del cálculo del producto de los números. Cuando el cálculo ha terminado el sistema envía la señal
Fin y el usuario podrá ver el resultado en la salida P.
Library IEEE;
Use IEEE.std_logic_1164.all;
Use IEEE.std_logic_unsigned.all;
Use work.componentes.all;
Entity multiplicador is
Generic(n : integer := 4; nn : integer := 8); -- nn deber ser el doble de n
Port(Resetn, Clock : in std_logic;
LdA, LdB, Start : in std_logic;
DataA, DataB : in std_logic_vector(n-1 downto 0);
P : buffer std_logic_vector(nn-1 downto 0);
Fin : out std_logic);
end multiplicador;
Architecture comportamiento of multiplicador is
Type estado is (____________);
Signal y : estado;
Signal Sel, EnA, EnB, EnP, Big0, Zero: std_logic;
Signal B, n_zeros: std_logic_vector(n-1 downto 0);
Signal Ain, A, DataP, Sum, nn_zeros: std_logic_vector(nn-1 downto 0);
Begin
--Circuito Controlador
MSS_transiciones: process(Resetn, Clock)
Begin
__________
__________
end process;
MSS_salidas: process(y, Start, LdA, LdB, Big0, B(0))
Begin
__________
__________
end process;
--Procesador de datos
Zero <= '0';
n_zeros <= (others => '0'); nn_zeros <= (others => '0');
Ain <= n_zeros & DataA;
multiplicando_A: registro_d_i generic map (n => nn)
port map (Resetn, Clock, EnA, LdA, Zero, Ain, A);
multiplicador_B: registro_i_d generic map (n => n)
port map (Resetn, Clock, EnB, LdB, Zero, DataB, B);
Big0 <= '1' when B = n_zeros else '0';
Sum <= A + P;
Multiplexor: busmux2a1 generic map (n => nn)
port map (Sel, nn_zeros, Sum, DataP);
producto_P: registro_sost generic map (n => nn)
port map (Resetn, Clock, EnP, DataP, P);
end comportamiento;
vasanza 3
Presente:
a. La partición funcional del sistema. (x%)
b. Complete el código VHDL (código del controlador). (x%)
Type estado is (A,B,C,D);
--Circuito Controlador
MSS_transiciones: process(Resetn, Clock)
Begin
if resetn='0' then y<=A;
elsif clock'event and clock='1' then
case y is
when A=>
if start='1' then y<=B;
else y<=A; end if;
when B =>
if Big0='1' then y<=D;
else y<=C; end if;
When C =>
Y<=B;
When D =>
If start='0' then y<=D;
Else y<=A; end if;
vasanza 4
end case;
end if;
end process;
MSS_salidas: process(y, Start, LdA, LdB, Big0, B(0))
case y is
when A=>
EnA<=LdA;
EnB<=LdB;
EnP<='1';
when B =>
EnP <= B(0);
Sel<='1';
When C =>
EnA<='1';
EnB<='1';
When D =>
Fin<='1';
end case;
end process;

Más contenido relacionado

La actualidad más candente

La actualidad más candente (16)

Guía de laboratorio no1
Guía de laboratorio no1Guía de laboratorio no1
Guía de laboratorio no1
 
Mostrar valor mayor
Mostrar valor mayorMostrar valor mayor
Mostrar valor mayor
 
Mostrar valor menor
Mostrar valor menorMostrar valor menor
Mostrar valor menor
 
C:\fakepath\j otion
C:\fakepath\j otionC:\fakepath\j otion
C:\fakepath\j otion
 
IF-ELSE EN Csharp C#
IF-ELSE EN Csharp C#IF-ELSE EN Csharp C#
IF-ELSE EN Csharp C#
 
Simulación - Algoritmo de productos medios
Simulación - Algoritmo de productos mediosSimulación - Algoritmo de productos medios
Simulación - Algoritmo de productos medios
 
Trabajo De Ecuaciones Diferenciales
Trabajo De Ecuaciones DiferencialesTrabajo De Ecuaciones Diferenciales
Trabajo De Ecuaciones Diferenciales
 
12
1212
12
 
Practica 10
Practica 10Practica 10
Practica 10
 
arreglos y matrices
arreglos  y matricesarreglos  y matrices
arreglos y matrices
 
Tarea3 informatica
Tarea3 informaticaTarea3 informatica
Tarea3 informatica
 
Ejercicios arreglos
Ejercicios arreglosEjercicios arreglos
Ejercicios arreglos
 
Info proyecto4
Info proyecto4Info proyecto4
Info proyecto4
 
Practca#1 2210
Practca#1 2210 Practca#1 2210
Practca#1 2210
 
Matrices pseint
Matrices   pseintMatrices   pseint
Matrices pseint
 
Variables2
Variables2Variables2
Variables2
 

Similar a VHDL Multiplicador Numeros Bits Sistema Digital

Similar a VHDL Multiplicador Numeros Bits Sistema Digital (20)

Problemas Condicionales
Problemas CondicionalesProblemas Condicionales
Problemas Condicionales
 
Datos Escalares
Datos EscalaresDatos Escalares
Datos Escalares
 
Introduccion al lenguaje c_EderHenriquez
Introduccion al lenguaje c_EderHenriquezIntroduccion al lenguaje c_EderHenriquez
Introduccion al lenguaje c_EderHenriquez
 
RSA crypt4you
RSA crypt4youRSA crypt4you
RSA crypt4you
 
14 cifraasimetrica
14 cifraasimetrica14 cifraasimetrica
14 cifraasimetrica
 
Ejercicios con Funciones en programación I C++
Ejercicios con Funciones en programación I C++Ejercicios con Funciones en programación I C++
Ejercicios con Funciones en programación I C++
 
Micc mej feb18_2010
Micc mej feb18_2010Micc mej feb18_2010
Micc mej feb18_2010
 
Omega Up
Omega UpOmega Up
Omega Up
 
Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores
 
Diagramas de flujo
Diagramas de flujoDiagramas de flujo
Diagramas de flujo
 
Micc mej sept16_2010
Micc mej sept16_2010Micc mej sept16_2010
Micc mej sept16_2010
 
escuela superiror politecnica de chimborazo
escuela superiror politecnica de chimborazoescuela superiror politecnica de chimborazo
escuela superiror politecnica de chimborazo
 
Trampas en c ++
Trampas en c ++Trampas en c ++
Trampas en c ++
 
Netsbeans
NetsbeansNetsbeans
Netsbeans
 
Micc mej feb18_2010_solucion
Micc mej feb18_2010_solucionMicc mej feb18_2010_solucion
Micc mej feb18_2010_solucion
 
Programa 1
Programa 1Programa 1
Programa 1
 
Practicas java Nieto Ugalde Evelyn Anayansi Grupo:403
Practicas java Nieto Ugalde Evelyn Anayansi Grupo:403Practicas java Nieto Ugalde Evelyn Anayansi Grupo:403
Practicas java Nieto Ugalde Evelyn Anayansi Grupo:403
 
Aritmetica
AritmeticaAritmetica
Aritmetica
 
Josue pedro ramos quispe
Josue pedro ramos quispeJosue pedro ramos quispe
Josue pedro ramos quispe
 
Catalan
CatalanCatalan
Catalan
 

Más de Victor Asanza

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor AsanzaVictor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning AlgorithmsVictor Asanza
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption PredictionVictor Asanza
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...Victor Asanza
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB Victor Asanza
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6Victor Asanza
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...Victor Asanza
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring StationVictor Asanza
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry PiVictor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)Victor Asanza
 

Más de Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 

Último

Neurociencias para Educadores NE24 Ccesa007.pdf
Neurociencias para Educadores  NE24  Ccesa007.pdfNeurociencias para Educadores  NE24  Ccesa007.pdf
Neurociencias para Educadores NE24 Ccesa007.pdfDemetrio Ccesa Rayme
 
Éteres. Química Orgánica. Propiedades y reacciones
Éteres. Química Orgánica. Propiedades y reaccionesÉteres. Química Orgánica. Propiedades y reacciones
Éteres. Química Orgánica. Propiedades y reaccionesLauraColom3
 
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdfDemetrio Ccesa Rayme
 
plan de capacitacion docente AIP 2024 clllll.pdf
plan de capacitacion docente  AIP 2024          clllll.pdfplan de capacitacion docente  AIP 2024          clllll.pdf
plan de capacitacion docente AIP 2024 clllll.pdfenelcielosiempre
 
INSTRUCCION PREPARATORIA DE TIRO .pptx
INSTRUCCION PREPARATORIA DE TIRO   .pptxINSTRUCCION PREPARATORIA DE TIRO   .pptx
INSTRUCCION PREPARATORIA DE TIRO .pptxdeimerhdz21
 
plande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdfplande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdfenelcielosiempre
 
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxTECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxKarlaMassielMartinez
 
Registro Auxiliar - Primaria 2024 (1).pptx
Registro Auxiliar - Primaria  2024 (1).pptxRegistro Auxiliar - Primaria  2024 (1).pptx
Registro Auxiliar - Primaria 2024 (1).pptxFelicitasAsuncionDia
 
CLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdfCLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdfJonathanCovena1
 
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAJAVIER SOLIS NOYOLA
 
Heinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoHeinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoFundación YOD YOD
 
Qué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaQué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaDecaunlz
 
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdfEjercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdfMaritzaRetamozoVera
 
Cuaderno de trabajo Matemática 3 tercer grado.pdf
Cuaderno de trabajo Matemática 3 tercer grado.pdfCuaderno de trabajo Matemática 3 tercer grado.pdf
Cuaderno de trabajo Matemática 3 tercer grado.pdfNancyLoaa
 
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfSELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfAngélica Soledad Vega Ramírez
 
Sesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxSesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxMaritzaRetamozoVera
 
PLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxPLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxlupitavic
 

Último (20)

Sesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronósticoSesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronóstico
 
Neurociencias para Educadores NE24 Ccesa007.pdf
Neurociencias para Educadores  NE24  Ccesa007.pdfNeurociencias para Educadores  NE24  Ccesa007.pdf
Neurociencias para Educadores NE24 Ccesa007.pdf
 
Éteres. Química Orgánica. Propiedades y reacciones
Éteres. Química Orgánica. Propiedades y reaccionesÉteres. Química Orgánica. Propiedades y reacciones
Éteres. Química Orgánica. Propiedades y reacciones
 
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdf
 
plan de capacitacion docente AIP 2024 clllll.pdf
plan de capacitacion docente  AIP 2024          clllll.pdfplan de capacitacion docente  AIP 2024          clllll.pdf
plan de capacitacion docente AIP 2024 clllll.pdf
 
INSTRUCCION PREPARATORIA DE TIRO .pptx
INSTRUCCION PREPARATORIA DE TIRO   .pptxINSTRUCCION PREPARATORIA DE TIRO   .pptx
INSTRUCCION PREPARATORIA DE TIRO .pptx
 
plande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdfplande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdf
 
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxTECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
 
Registro Auxiliar - Primaria 2024 (1).pptx
Registro Auxiliar - Primaria  2024 (1).pptxRegistro Auxiliar - Primaria  2024 (1).pptx
Registro Auxiliar - Primaria 2024 (1).pptx
 
Presentacion Metodología de Enseñanza Multigrado
Presentacion Metodología de Enseñanza MultigradoPresentacion Metodología de Enseñanza Multigrado
Presentacion Metodología de Enseñanza Multigrado
 
CLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdfCLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdf
 
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
 
Heinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativoHeinsohn Privacidad y Ciberseguridad para el sector educativo
Heinsohn Privacidad y Ciberseguridad para el sector educativo
 
Qué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaQué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativa
 
Power Point: Fe contra todo pronóstico.pptx
Power Point: Fe contra todo pronóstico.pptxPower Point: Fe contra todo pronóstico.pptx
Power Point: Fe contra todo pronóstico.pptx
 
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdfEjercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
 
Cuaderno de trabajo Matemática 3 tercer grado.pdf
Cuaderno de trabajo Matemática 3 tercer grado.pdfCuaderno de trabajo Matemática 3 tercer grado.pdf
Cuaderno de trabajo Matemática 3 tercer grado.pdf
 
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfSELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
 
Sesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxSesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docx
 
PLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxPLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docx
 

VHDL Multiplicador Numeros Bits Sistema Digital

  • 1. vasanza 1 SISTEMAS DIGITALES II EXAMEN 2do PARCIAL Fecha: 2018/09/12 I termino 2018-2019 Nombre: _________________________________________________ Paralelo: __________ Problema #x: (x%) Analizar el siguiente código en lenguaje C: a) ¿Cal es la función de P en el código anterior? P es un puntero al doble, lo que significa que puede almacenar la dirección de una variable de tipo doble. Una vez que tenemos la dirección en p, * p nos dará el valor disponible en la dirección almacenada en p. b) ¿Cuál es la impresión que genere el código anterior? Array values using pointer *(p + 0) : 1000.000000 *(p + 1) : 2.000000 *(p + 2) : 3.400000 *(p + 3) : 17.000000 *(p + 4) : 50.000000 Array values using balance as address *(balance + 0) : 1000.000000 *(balance + 1) : 2.000000 *(balance + 2) : 3.400000 *(balance + 3) : 17.000000 *(balance + 4) : 50.000000
  • 2. vasanza 2 PROBLEMA # 2: (x%) Considere el siguiente código VHDL de un sistema digital que multiplica dos números de n bits según el método tradicional aprendido y usado desde la escuela. El usuario ingresa primero el dato A (multiplicando) y luego el dato B (multiplicador) colocando el dato en la entrada correspondiente y presionando la tecla LdA y LdB según se ingrese el dato A o B respectivamente. Luego que los datos han sido ingresados el sistema espera hasta que el usuario presione el botón Start y empieza con el proceso del cálculo del producto de los números. Cuando el cálculo ha terminado el sistema envía la señal Fin y el usuario podrá ver el resultado en la salida P. Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.std_logic_unsigned.all; Use work.componentes.all; Entity multiplicador is Generic(n : integer := 4; nn : integer := 8); -- nn deber ser el doble de n Port(Resetn, Clock : in std_logic; LdA, LdB, Start : in std_logic; DataA, DataB : in std_logic_vector(n-1 downto 0); P : buffer std_logic_vector(nn-1 downto 0); Fin : out std_logic); end multiplicador; Architecture comportamiento of multiplicador is Type estado is (____________); Signal y : estado; Signal Sel, EnA, EnB, EnP, Big0, Zero: std_logic; Signal B, n_zeros: std_logic_vector(n-1 downto 0); Signal Ain, A, DataP, Sum, nn_zeros: std_logic_vector(nn-1 downto 0); Begin --Circuito Controlador MSS_transiciones: process(Resetn, Clock) Begin __________ __________ end process; MSS_salidas: process(y, Start, LdA, LdB, Big0, B(0)) Begin __________ __________ end process; --Procesador de datos Zero <= '0'; n_zeros <= (others => '0'); nn_zeros <= (others => '0'); Ain <= n_zeros & DataA; multiplicando_A: registro_d_i generic map (n => nn) port map (Resetn, Clock, EnA, LdA, Zero, Ain, A); multiplicador_B: registro_i_d generic map (n => n) port map (Resetn, Clock, EnB, LdB, Zero, DataB, B); Big0 <= '1' when B = n_zeros else '0'; Sum <= A + P; Multiplexor: busmux2a1 generic map (n => nn) port map (Sel, nn_zeros, Sum, DataP); producto_P: registro_sost generic map (n => nn) port map (Resetn, Clock, EnP, DataP, P); end comportamiento;
  • 3. vasanza 3 Presente: a. La partición funcional del sistema. (x%) b. Complete el código VHDL (código del controlador). (x%) Type estado is (A,B,C,D); --Circuito Controlador MSS_transiciones: process(Resetn, Clock) Begin if resetn='0' then y<=A; elsif clock'event and clock='1' then case y is when A=> if start='1' then y<=B; else y<=A; end if; when B => if Big0='1' then y<=D; else y<=C; end if; When C => Y<=B; When D => If start='0' then y<=D; Else y<=A; end if;
  • 4. vasanza 4 end case; end if; end process; MSS_salidas: process(y, Start, LdA, LdB, Big0, B(0)) case y is when A=> EnA<=LdA; EnB<=LdB; EnP<='1'; when B => EnP <= B(0); Sel<='1'; When C => EnA<='1'; EnB<='1'; When D => Fin<='1'; end case; end process;