SlideShare una empresa de Scribd logo
1 de 30
CIRCUITOS DIGITALES I

CIRCUITOS COMBINACIONALES




        ING. FERNANDO APARICIO URBANO MOLANO
ANÁLISIS DE CIRCUITOS COMBINACIONALES

Un circuito combinacional es un circuito digital cuyas
salidas, en un instante determinado y sin considerar
los tiempos de propagación de las puertas, son
función, exclusivamente, de la “combinación” de
valores binarios de las entradas del circuito en ese
mismo instante.

                            Fout ( A, B, C , D ) = A ⋅ B + C ⋅ D




                                                                   2
MAXTÉRMINOS Y MINTÉRMINOS

Renglón o línea   A   B   C   Función de salida   Mintérmino   Maxtérmino

      0           0   0   0       F(0,0,0)        Ai BiC       A+ B +C
      1           0   0   1       F(0,0,1)        Ai BiC       A+ B +C
      2           0   1   0       F(0,1,0)        Ai BiC       A+ B +C
      3           0   1   1       F(0,1,1)        Ai BiC       A+ B +C
      4           1   0   0       F(1,0,0)        Ai BiC       A+ B +C
      5           1   0   1       F(1,0,1)        Ai BiC       A+ B +C
      6           1   1   0       F(1,1,0)        Ai BiC       A+ B +C
      7           1   1   1       F(1,1,1)        Ai BiC       A+ B +C


                                                                            3
MAXTÉRMINOS Y MINTÉRMINOS (2)
Mintérmino: Es un término de producto con n
literales en el cual hay n variables. De n variables
obtenemos 2n mintérminos.
   Ej : X ⋅ Y ⋅ Z representa el 7 (con los unos)
Maxtérmino: Es un término de suma con n literales
en el cual hay n variables. De n variables obtenemos
2n maxtérminos.
   Ej: X + Y + Z representa el 2 (con los ceros)



                                                   4
FORMAS ESTANDAR DE EXPRESIONES BOOLEANAS


Suma de productos (SOP): Suma lógica de términos
productos:

  f(a,b,c) = a b c + a b c + a b c + c
Producto de sumas (POS): Producto lógico de términos
suma
f(a, b, c, d, e) = ( a + b + c)(a + d + e)(a + b + d)(d + e)
No necesariamente aparecen todas las variables de la
función.


                                                               5
SUMA DE PRODUCTOS
• Es la suma de los mintérminos correspondientes a las
  líneas de la tabla de verdad donde la función produce una
  salida igual a 1.
                       A    B    C    F1
                  0    0    0    0     0
                  1    0    0    1     0
                  2    0    1    0     1
                  3    0    1    1     0
                  4    1    0    0     1
                  5    1    0    1     1
                  6    1    1    0     0
                  7    1    1    1     1




                                                          6
SUMA DE PRODUCTOS (2)

•La función lógica es la combinacion de los
 mintérminos 010 (2), 100 (4), 101 (5) y 111 (7)
 como:
  F1 ( A, B, C ) = ∑ m ( 2, 4,5, 7 ) = ABC + ABC + ABC + ABC
•Cada mintérmino representa una compuerta AND
 de tres entradas
•F1 es la operación OR de las salidas de las cuatro
 compuertas AND.



                                                               7
SUMA DE PRODUCTOS (3)




En una SOP la función es 1 si al menos uno de sus términos productos es igual a 1.




                                                                                     8
EXPRESIÓN LÓGICA A SOP

    A+ A =1
•   Los términos producto que no contengan
    alguna de las variables multiplicarlos por un
    término X + X
    F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A




                                                    9
EXPRESIÓN LÓGICA A SOP

    A+ A =1
•   Los términos producto que no contengan
    alguna de las variables multiplicarlos por un
    término X + X
    F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A
                             (      )            (
    F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C   )



                                                                10
EXPRESIÓN LÓGICA A SOP

       A+ A =1
•     Los términos producto que no contengan
      alguna de las variables multiplicarlos por un
      término X + X
      F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A
                                  (       )             (
      F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C     )
    F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C + A ⋅ C




                                                                        11
EXPRESIÓN LÓGICA A SOP

           A+ A =1
  •      Los términos producto que no contengan
         alguna de las variables multiplicarlos por un
         término X + X
          F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A
                                          (         )
          F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C     (         )
       F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C + A ⋅ C
F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C ⋅ ( B + B) + A ⋅ C ⋅ ( B + B)




                                                                                     12
EXPRESIÓN LÓGICA A SOP

            A+ A =1
  •        Los términos producto que no contengan
           alguna de las variables multiplicarlos por un
           término X + X
           F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A
                                              (         )
           F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C          (          )
        F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C + A ⋅ C
F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C ⋅ ( B + B) + A ⋅ C ⋅ ( B + B)

      F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C ⋅ B



                                                                                              13
PRODUCTO DE SUMAS
• Multiplicación de los maxtérminos correspondientes a la
  tabla de verdad donde la función produce una salida
  igual a 0.
                    A     B     C    F4
               0    0     0     0    0
               1    0     0     1    0
               2    0     1     0    1
               3    0     1     1    0
               4    1     0     0    1
               5    1     0     1    1
               6    1     1     0    0
               7    1     1     1    1



                                                            14
PRODUCTO DE SUMAS (2)
•La función se expresa con un maxtérmino para cada
 combinación de variables que producen un 0 a la salida:
 000 (0), 001 (1), 011 (3) y 110 (6) como:

                                              (           )(           )(
F1 ( A, B, C ) = ∏ M ( 0,1,3, 6 ) = ( A + B + C ) ⋅ A + B + C ⋅ A + B + C ⋅ A + B + C   )
•Cada maxtérmino es una compuerta OR de tres entradas y
 la función es la operación AND a las salidas de las cuatro
 compuertas OR.




                                                                                        15
PRODUCTO DE SUMAS (3)




•Un producto de sumas es igual a 0 si al menos uno
 de los términos suma es 0.

                                                     16
EXPRESIONES PARA IMPLEMENTACIÓN

•AOI: Implementa una función lógica en el
 orden AND, OR, NOT (Invert).
   F = ( aib + cid )
 SOP invertida (negada)
•OAI: Implementa una función lógica en el
 orden OR, AND, NOT (Invert)
  G = ( ( x + y )i( z + w ) )
 POS invertida (negada)


                                            17
DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES

• Requerimiento




                                          18
DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES

• Requerimiento
• Se elabora la tabla de verdad.




                                          19
DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES

• Requerimiento
• Se elabora la tabla de verdad.
• Aplicar SOP ó POS.




                                          20
DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES

• Requerimiento
• Se elabora la tabla de verdad.
• Aplicar SOP ó POS.
• Simplificación de la función a su mínima
  expresión.




                                             21
PROCEDIMIENTO DE DISEÑO
              Requerimiento

Diseñe un circuito lógico que tenga como entradas
A, B y C y cuya salida sea alta solo cuando la
mayor parte de las entradas sean ALTAS.




                                                    22
PROCEDIMIENTO DE DISEÑO (2)

A      B       C      F       Tabla de
0      0       0      0        Verdad
0      0       1      0
0      1       0      0
0      1       1      1
1      0       0      0
1      0       1      1
1      1       0      1
1      1       1      1

                                         23
PROCEDIMIENTO DE DISEÑO (3)
                  Simplificación
Se escriben los términos, para los casos en que la
salida es “UNO” y se procede a simplificar

X = ABC + ABC + ABC + ABC
X = ABC + ABC + ABC + ABC + ABC + ABC
F = (ABC + ABC ) + (ABC + ABC ) + (ABC + ABC )
F = BC(A + A) + AC(B + B) + AB(C +C )
F = BC + AC + AB

                                                     24
PROCEDIMIENTO DE DISEÑO (4)
       Implementación




                              25
EJEMPLO DE DISEÑO
                        #    A   B   C   D       Z
                        0    0   0   0   0   1
• Halle una Función Z   1    0   0   0   1   0

  que identifique       2    0   0   1   0   1
                        3    0   0   1   1   0
  todos los números     4    0   1   0   0   1

  pares del 0 al 15     5
                        6
                             0
                             0
                                 1
                                 1
                                     0
                                     1
                                         1
                                         0
                                             0
                                             1
                        7    0   1   1   1   0
                        8    1   0   0   0   1
                        9    1   0   0   1   0
                        10   1   0   1   0   1
                        11   1   0   1   1   0
                        12   1   1   0   0   1
                        13   1   1   0   1   0
                        14   1   1   1   0   1
                        15   1   1   1   1   0


                                                     26
EJEMPLO DE DISEÑO (2)
Z = ABC D + ABC D + ABC D + ABC D + ABC D + ABC D + ABC D + ABC D


• El algebra de Boole permite obtener expresiones
  mas simples:
                          Z=D
• También el sentido común: En la tabla de verdad
  anterior, un número par se identifica cuando el
  bit menos significativo es 0.



                                                                27
APLICACIÓN

Diseño de alarma
Entradas:
 P-> Puerta , V->Ventana, N->Noche, I-> interruptor
Salidas:
A-> Alarma
La salida (A) se activa si el interruptor está activado y la
puerta esta abierta o si es de noche y la ventana esta
abierta.



                                                               28
SOLUCIÓN




           29
SOLUCIÓN




           30

Más contenido relacionado

La actualidad más candente

DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...
DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...
DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...Fernando Marcos Marcos
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Miguel Angel Peña
 
Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)251089luis
 
Exposicion fototransistor
Exposicion fototransistorExposicion fototransistor
Exposicion fototransistorjoselin33
 
Circuitos integrados y familias logicas
Circuitos integrados y familias logicasCircuitos integrados y familias logicas
Circuitos integrados y familias logicasNoe Garcia
 
Electrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finitoElectrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finitoSANTIAGO PABLO ALBERTO
 
Fallos en los circuitos integrados digitales
Fallos en los circuitos integrados digitalesFallos en los circuitos integrados digitales
Fallos en los circuitos integrados digitalesJomicast
 
Multiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digitalMultiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digitalIsrael Magaña
 
Convertidores Digital Analogico
Convertidores Digital AnalogicoConvertidores Digital Analogico
Convertidores Digital AnalogicoRicardo Solorzano
 
Los circuitos integrados
Los circuitos integradosLos circuitos integrados
Los circuitos integradosJomicast
 
Fuente de alimentación +-12, +-5 y variable -+
Fuente de alimentación +-12, +-5 y variable -+Fuente de alimentación +-12, +-5 y variable -+
Fuente de alimentación +-12, +-5 y variable -+David Catagnia
 
Sumadores,codificadores, decodificadores,multiplexores y demultiplexores
Sumadores,codificadores, decodificadores,multiplexores y demultiplexoresSumadores,codificadores, decodificadores,multiplexores y demultiplexores
Sumadores,codificadores, decodificadores,multiplexores y demultiplexoresJose Tomas Rodriguez Henriquez
 

La actualidad más candente (20)

DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...
DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...
DISEÑO Y ELECTRONICA ANALOGICA - AMPLIFICADOR DIFERENCIADOR E INTEGRADOR - DI...
 
Comparador de 4 bits
Comparador de 4 bitsComparador de 4 bits
Comparador de 4 bits
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)
 
Electrónica digital: Comparadores
Electrónica digital: ComparadoresElectrónica digital: Comparadores
Electrónica digital: Comparadores
 
Exposicion fototransistor
Exposicion fototransistorExposicion fototransistor
Exposicion fototransistor
 
Circuitos integrados y familias logicas
Circuitos integrados y familias logicasCircuitos integrados y familias logicas
Circuitos integrados y familias logicas
 
Rectificador de media onda no controlado
Rectificador de media onda no controlado Rectificador de media onda no controlado
Rectificador de media onda no controlado
 
Electrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finitoElectrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finito
 
Fallos en los circuitos integrados digitales
Fallos en los circuitos integrados digitalesFallos en los circuitos integrados digitales
Fallos en los circuitos integrados digitales
 
Multiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digitalMultiplexores y demultiplexores en electrónica digital
Multiplexores y demultiplexores en electrónica digital
 
El transistor jfet
El transistor jfetEl transistor jfet
El transistor jfet
 
Convertidores Digital Analogico
Convertidores Digital AnalogicoConvertidores Digital Analogico
Convertidores Digital Analogico
 
LOGO
LOGOLOGO
LOGO
 
Sumador\Restador
Sumador\RestadorSumador\Restador
Sumador\Restador
 
Los circuitos integrados
Los circuitos integradosLos circuitos integrados
Los circuitos integrados
 
Fuente de alimentación +-12, +-5 y variable -+
Fuente de alimentación +-12, +-5 y variable -+Fuente de alimentación +-12, +-5 y variable -+
Fuente de alimentación +-12, +-5 y variable -+
 
Sumadores,codificadores, decodificadores,multiplexores y demultiplexores
Sumadores,codificadores, decodificadores,multiplexores y demultiplexoresSumadores,codificadores, decodificadores,multiplexores y demultiplexores
Sumadores,codificadores, decodificadores,multiplexores y demultiplexores
 
ELECTRONICA DIGITAL.pptx
ELECTRONICA DIGITAL.pptxELECTRONICA DIGITAL.pptx
ELECTRONICA DIGITAL.pptx
 
Tema5
Tema5Tema5
Tema5
 

Destacado

Circuitos lógicos MSI TTL
Circuitos lógicos MSI TTL Circuitos lógicos MSI TTL
Circuitos lógicos MSI TTL Andres Bejarano
 
Valencia dfvv. posibilidades y reflexiones de circuitos digitales
Valencia dfvv.  posibilidades y reflexiones de circuitos digitalesValencia dfvv.  posibilidades y reflexiones de circuitos digitales
Valencia dfvv. posibilidades y reflexiones de circuitos digitalesdfvalen0223
 
Contadores assíncrono e síncrono - Arthur Tiraboschi- IFSP Sertãozinho
Contadores assíncrono e síncrono - Arthur Tiraboschi- IFSP SertãozinhoContadores assíncrono e síncrono - Arthur Tiraboschi- IFSP Sertãozinho
Contadores assíncrono e síncrono - Arthur Tiraboschi- IFSP SertãozinhoArthur Tiraboschi
 

Destacado (20)

Node.JS para Intel Galileo
Node.JS para Intel GalileoNode.JS para Intel Galileo
Node.JS para Intel Galileo
 
Clase Combinacionales MSI - Primera Parte
Clase Combinacionales MSI - Primera ParteClase Combinacionales MSI - Primera Parte
Clase Combinacionales MSI - Primera Parte
 
Clase Combinacionales MSI - Segunda Parte
Clase Combinacionales MSI - Segunda ParteClase Combinacionales MSI - Segunda Parte
Clase Combinacionales MSI - Segunda Parte
 
Introducción a los Circuitos Digitales
Introducción a los Circuitos DigitalesIntroducción a los Circuitos Digitales
Introducción a los Circuitos Digitales
 
Clase Circuitos Aritméticos
Clase Circuitos AritméticosClase Circuitos Aritméticos
Clase Circuitos Aritméticos
 
Circuitos lógicos MSI TTL
Circuitos lógicos MSI TTL Circuitos lógicos MSI TTL
Circuitos lógicos MSI TTL
 
Latch y Flip-Flops
Latch y Flip-FlopsLatch y Flip-Flops
Latch y Flip-Flops
 
Clase USART
Clase USARTClase USART
Clase USART
 
Algebra de Boole
Algebra de BooleAlgebra de Boole
Algebra de Boole
 
Clase MSI
Clase MSIClase MSI
Clase MSI
 
Compuertas Lógicas
Compuertas LógicasCompuertas Lógicas
Compuertas Lógicas
 
Memorias
MemoriasMemorias
Memorias
 
Flip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los LatchFlip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los Latch
 
Diseño de Circuitos Secuenciales
Diseño de Circuitos SecuencialesDiseño de Circuitos Secuenciales
Diseño de Circuitos Secuenciales
 
Clase Sistemas Numéricos
Clase Sistemas NuméricosClase Sistemas Numéricos
Clase Sistemas Numéricos
 
TTL-CMOS
TTL-CMOSTTL-CMOS
TTL-CMOS
 
Valencia dfvv. posibilidades y reflexiones de circuitos digitales
Valencia dfvv.  posibilidades y reflexiones de circuitos digitalesValencia dfvv.  posibilidades y reflexiones de circuitos digitales
Valencia dfvv. posibilidades y reflexiones de circuitos digitales
 
Uart
UartUart
Uart
 
Contadores assíncrono e síncrono - Arthur Tiraboschi- IFSP Sertãozinho
Contadores assíncrono e síncrono - Arthur Tiraboschi- IFSP SertãozinhoContadores assíncrono e síncrono - Arthur Tiraboschi- IFSP Sertãozinho
Contadores assíncrono e síncrono - Arthur Tiraboschi- IFSP Sertãozinho
 
Usart
UsartUsart
Usart
 

Similar a Circuitos Combinacionales

Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...
Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...
Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...Betzi Lira
 
álgebra de boole
álgebra de booleálgebra de boole
álgebra de booleBetzi Lira
 
Tema 3 - Algebra de Boole.docx
Tema 3 - Algebra de Boole.docxTema 3 - Algebra de Boole.docx
Tema 3 - Algebra de Boole.docxalemagno82
 
2 - 3 Algebra de Boole (2).pptx
2 - 3 Algebra de Boole (2).pptx2 - 3 Algebra de Boole (2).pptx
2 - 3 Algebra de Boole (2).pptxMauCR2
 
Digitales Ii Tema3 Funciones Logicas
Digitales Ii  Tema3 Funciones LogicasDigitales Ii  Tema3 Funciones Logicas
Digitales Ii Tema3 Funciones LogicasSalesianos Atocha
 
2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigital2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigitalJesus393
 
2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigital2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigitalfabocf
 
Ap circuitos
Ap circuitosAp circuitos
Ap circuitosjuc309
 
Electrónica digital: Tema 3 Representación y minimización de funciones lógicas
Electrónica digital: Tema 3 Representación y minimización de funciones lógicasElectrónica digital: Tema 3 Representación y minimización de funciones lógicas
Electrónica digital: Tema 3 Representación y minimización de funciones lógicasSANTIAGO PABLO ALBERTO
 
1 algebra de boole
1 algebra de boole1 algebra de boole
1 algebra de boolemanuel59
 
Trabajode electronicadigital
Trabajode electronicadigitalTrabajode electronicadigital
Trabajode electronicadigitalwm_black
 

Similar a Circuitos Combinacionales (20)

Funciones logicas
Funciones logicasFunciones logicas
Funciones logicas
 
Algebra de boole
Algebra de booleAlgebra de boole
Algebra de boole
 
Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...
Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...
Taller Virtual Grupo 6. Cordinador. Lira Betzi... Algebra Boole y Compuertas ...
 
álgebra de boole
álgebra de booleálgebra de boole
álgebra de boole
 
Tema 3 - Algebra de Boole.docx
Tema 3 - Algebra de Boole.docxTema 3 - Algebra de Boole.docx
Tema 3 - Algebra de Boole.docx
 
2 - 3 Algebra de Boole (2).pptx
2 - 3 Algebra de Boole (2).pptx2 - 3 Algebra de Boole (2).pptx
2 - 3 Algebra de Boole (2).pptx
 
Digitales Ii Tema3 Funciones Logicas
Digitales Ii  Tema3 Funciones LogicasDigitales Ii  Tema3 Funciones Logicas
Digitales Ii Tema3 Funciones Logicas
 
Algebra De Boole
Algebra De BooleAlgebra De Boole
Algebra De Boole
 
2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigital2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigital
 
2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigital2.1.principiosde electrnicadigital
2.1.principiosde electrnicadigital
 
tema 01 sistemas digitales
tema 01 sistemas digitalestema 01 sistemas digitales
tema 01 sistemas digitales
 
4407458.ppt
4407458.ppt4407458.ppt
4407458.ppt
 
algebra de BOOLE.pdf
algebra de BOOLE.pdfalgebra de BOOLE.pdf
algebra de BOOLE.pdf
 
Albrebra booleana
Albrebra booleanaAlbrebra booleana
Albrebra booleana
 
Ap circuitos
Ap circuitosAp circuitos
Ap circuitos
 
canonicas
canonicascanonicas
canonicas
 
Electrónica digital
Electrónica digitalElectrónica digital
Electrónica digital
 
Electrónica digital: Tema 3 Representación y minimización de funciones lógicas
Electrónica digital: Tema 3 Representación y minimización de funciones lógicasElectrónica digital: Tema 3 Representación y minimización de funciones lógicas
Electrónica digital: Tema 3 Representación y minimización de funciones lógicas
 
1 algebra de boole
1 algebra de boole1 algebra de boole
1 algebra de boole
 
Trabajode electronicadigital
Trabajode electronicadigitalTrabajode electronicadigital
Trabajode electronicadigital
 

Más de Fernando Aparicio Urbano Molano

Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de DecisiónLenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de DecisiónFernando Aparicio Urbano Molano
 
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-FlopsMultivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-FlopsFernando Aparicio Urbano Molano
 

Más de Fernando Aparicio Urbano Molano (20)

Ciclos de Control en Shell
Ciclos de Control en ShellCiclos de Control en Shell
Ciclos de Control en Shell
 
Introducción al Shell Linux
Introducción al Shell LinuxIntroducción al Shell Linux
Introducción al Shell Linux
 
Introducción al Linux Embebido
Introducción al Linux EmbebidoIntroducción al Linux Embebido
Introducción al Linux Embebido
 
Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de DecisiónLenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
 
Introducción al Lenguaje C para microcontroladores PIC
Introducción al Lenguaje C para microcontroladores PICIntroducción al Lenguaje C para microcontroladores PIC
Introducción al Lenguaje C para microcontroladores PIC
 
Linux Yocto y GPIO
Linux Yocto y GPIOLinux Yocto y GPIO
Linux Yocto y GPIO
 
Arquitectura Computacional - Intel Galileo
Arquitectura Computacional - Intel GalileoArquitectura Computacional - Intel Galileo
Arquitectura Computacional - Intel Galileo
 
Conexiones del PIC16F887
Conexiones del PIC16F887Conexiones del PIC16F887
Conexiones del PIC16F887
 
Módulo EUSART del PIC16F887
Módulo EUSART del PIC16F887Módulo EUSART del PIC16F887
Módulo EUSART del PIC16F887
 
Módulo ADC del PIC16F887
Módulo ADC del PIC16F887Módulo ADC del PIC16F887
Módulo ADC del PIC16F887
 
Módulo Timer 1 del PIC16F887
Módulo Timer 1 del PIC16F887Módulo Timer 1 del PIC16F887
Módulo Timer 1 del PIC16F887
 
Modulo Timer 0 del PIC16F887
Modulo Timer 0 del PIC16F887Modulo Timer 0 del PIC16F887
Modulo Timer 0 del PIC16F887
 
Arquitectura Microcontrolador PIC16F887
Arquitectura Microcontrolador PIC16F887Arquitectura Microcontrolador PIC16F887
Arquitectura Microcontrolador PIC16F887
 
Máquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDLMáquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDL
 
Introducción al PIC16 f887
Introducción al PIC16 f887Introducción al PIC16 f887
Introducción al PIC16 f887
 
Estados Indiferentes - Diagramas de Karnaugh
Estados Indiferentes - Diagramas de KarnaughEstados Indiferentes - Diagramas de Karnaugh
Estados Indiferentes - Diagramas de Karnaugh
 
Clase instrucciones PIC16F877A
Clase instrucciones PIC16F877AClase instrucciones PIC16F877A
Clase instrucciones PIC16F877A
 
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-FlopsMultivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
 
Máquinas de Estado
Máquinas de EstadoMáquinas de Estado
Máquinas de Estado
 
Clase Latch y FFs
Clase Latch y FFsClase Latch y FFs
Clase Latch y FFs
 

Circuitos Combinacionales

  • 1. CIRCUITOS DIGITALES I CIRCUITOS COMBINACIONALES ING. FERNANDO APARICIO URBANO MOLANO
  • 2. ANÁLISIS DE CIRCUITOS COMBINACIONALES Un circuito combinacional es un circuito digital cuyas salidas, en un instante determinado y sin considerar los tiempos de propagación de las puertas, son función, exclusivamente, de la “combinación” de valores binarios de las entradas del circuito en ese mismo instante. Fout ( A, B, C , D ) = A ⋅ B + C ⋅ D 2
  • 3. MAXTÉRMINOS Y MINTÉRMINOS Renglón o línea A B C Función de salida Mintérmino Maxtérmino 0 0 0 0 F(0,0,0) Ai BiC A+ B +C 1 0 0 1 F(0,0,1) Ai BiC A+ B +C 2 0 1 0 F(0,1,0) Ai BiC A+ B +C 3 0 1 1 F(0,1,1) Ai BiC A+ B +C 4 1 0 0 F(1,0,0) Ai BiC A+ B +C 5 1 0 1 F(1,0,1) Ai BiC A+ B +C 6 1 1 0 F(1,1,0) Ai BiC A+ B +C 7 1 1 1 F(1,1,1) Ai BiC A+ B +C 3
  • 4. MAXTÉRMINOS Y MINTÉRMINOS (2) Mintérmino: Es un término de producto con n literales en el cual hay n variables. De n variables obtenemos 2n mintérminos. Ej : X ⋅ Y ⋅ Z representa el 7 (con los unos) Maxtérmino: Es un término de suma con n literales en el cual hay n variables. De n variables obtenemos 2n maxtérminos. Ej: X + Y + Z representa el 2 (con los ceros) 4
  • 5. FORMAS ESTANDAR DE EXPRESIONES BOOLEANAS Suma de productos (SOP): Suma lógica de términos productos: f(a,b,c) = a b c + a b c + a b c + c Producto de sumas (POS): Producto lógico de términos suma f(a, b, c, d, e) = ( a + b + c)(a + d + e)(a + b + d)(d + e) No necesariamente aparecen todas las variables de la función. 5
  • 6. SUMA DE PRODUCTOS • Es la suma de los mintérminos correspondientes a las líneas de la tabla de verdad donde la función produce una salida igual a 1. A B C F1 0 0 0 0 0 1 0 0 1 0 2 0 1 0 1 3 0 1 1 0 4 1 0 0 1 5 1 0 1 1 6 1 1 0 0 7 1 1 1 1 6
  • 7. SUMA DE PRODUCTOS (2) •La función lógica es la combinacion de los mintérminos 010 (2), 100 (4), 101 (5) y 111 (7) como: F1 ( A, B, C ) = ∑ m ( 2, 4,5, 7 ) = ABC + ABC + ABC + ABC •Cada mintérmino representa una compuerta AND de tres entradas •F1 es la operación OR de las salidas de las cuatro compuertas AND. 7
  • 8. SUMA DE PRODUCTOS (3) En una SOP la función es 1 si al menos uno de sus términos productos es igual a 1. 8
  • 9. EXPRESIÓN LÓGICA A SOP A+ A =1 • Los términos producto que no contengan alguna de las variables multiplicarlos por un término X + X F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A 9
  • 10. EXPRESIÓN LÓGICA A SOP A+ A =1 • Los términos producto que no contengan alguna de las variables multiplicarlos por un término X + X F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A ( ) ( F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C ) 10
  • 11. EXPRESIÓN LÓGICA A SOP A+ A =1 • Los términos producto que no contengan alguna de las variables multiplicarlos por un término X + X F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A ( ) ( F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C ) F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C + A ⋅ C 11
  • 12. EXPRESIÓN LÓGICA A SOP A+ A =1 • Los términos producto que no contengan alguna de las variables multiplicarlos por un término X + X F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A ( ) F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C ( ) F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C + A ⋅ C F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C ⋅ ( B + B) + A ⋅ C ⋅ ( B + B) 12
  • 13. EXPRESIÓN LÓGICA A SOP A+ A =1 • Los términos producto que no contengan alguna de las variables multiplicarlos por un término X + X F ( A, B, C ) = A ⋅ B ⋅ C + B ⋅ C + A ( ) F ( A, B, C ) = A ⋅ B ⋅ C + A + A ⋅ B ⋅ C + A ⋅ C + C ( ) F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C + A ⋅ C F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C ⋅ ( B + B) + A ⋅ C ⋅ ( B + B) F ( A, B, C ) = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ C ⋅ B 13
  • 14. PRODUCTO DE SUMAS • Multiplicación de los maxtérminos correspondientes a la tabla de verdad donde la función produce una salida igual a 0. A B C F4 0 0 0 0 0 1 0 0 1 0 2 0 1 0 1 3 0 1 1 0 4 1 0 0 1 5 1 0 1 1 6 1 1 0 0 7 1 1 1 1 14
  • 15. PRODUCTO DE SUMAS (2) •La función se expresa con un maxtérmino para cada combinación de variables que producen un 0 a la salida: 000 (0), 001 (1), 011 (3) y 110 (6) como: ( )( )( F1 ( A, B, C ) = ∏ M ( 0,1,3, 6 ) = ( A + B + C ) ⋅ A + B + C ⋅ A + B + C ⋅ A + B + C ) •Cada maxtérmino es una compuerta OR de tres entradas y la función es la operación AND a las salidas de las cuatro compuertas OR. 15
  • 16. PRODUCTO DE SUMAS (3) •Un producto de sumas es igual a 0 si al menos uno de los términos suma es 0. 16
  • 17. EXPRESIONES PARA IMPLEMENTACIÓN •AOI: Implementa una función lógica en el orden AND, OR, NOT (Invert). F = ( aib + cid ) SOP invertida (negada) •OAI: Implementa una función lógica en el orden OR, AND, NOT (Invert) G = ( ( x + y )i( z + w ) ) POS invertida (negada) 17
  • 18. DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES • Requerimiento 18
  • 19. DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES • Requerimiento • Se elabora la tabla de verdad. 19
  • 20. DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES • Requerimiento • Se elabora la tabla de verdad. • Aplicar SOP ó POS. 20
  • 21. DISEÑO DE CIRCUITOS LÓGICOS COMBINACIONALES • Requerimiento • Se elabora la tabla de verdad. • Aplicar SOP ó POS. • Simplificación de la función a su mínima expresión. 21
  • 22. PROCEDIMIENTO DE DISEÑO Requerimiento Diseñe un circuito lógico que tenga como entradas A, B y C y cuya salida sea alta solo cuando la mayor parte de las entradas sean ALTAS. 22
  • 23. PROCEDIMIENTO DE DISEÑO (2) A B C F Tabla de 0 0 0 0 Verdad 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 23
  • 24. PROCEDIMIENTO DE DISEÑO (3) Simplificación Se escriben los términos, para los casos en que la salida es “UNO” y se procede a simplificar X = ABC + ABC + ABC + ABC X = ABC + ABC + ABC + ABC + ABC + ABC F = (ABC + ABC ) + (ABC + ABC ) + (ABC + ABC ) F = BC(A + A) + AC(B + B) + AB(C +C ) F = BC + AC + AB 24
  • 25. PROCEDIMIENTO DE DISEÑO (4) Implementación 25
  • 26. EJEMPLO DE DISEÑO # A B C D Z 0 0 0 0 0 1 • Halle una Función Z 1 0 0 0 1 0 que identifique 2 0 0 1 0 1 3 0 0 1 1 0 todos los números 4 0 1 0 0 1 pares del 0 al 15 5 6 0 0 1 1 0 1 1 0 0 1 7 0 1 1 1 0 8 1 0 0 0 1 9 1 0 0 1 0 10 1 0 1 0 1 11 1 0 1 1 0 12 1 1 0 0 1 13 1 1 0 1 0 14 1 1 1 0 1 15 1 1 1 1 0 26
  • 27. EJEMPLO DE DISEÑO (2) Z = ABC D + ABC D + ABC D + ABC D + ABC D + ABC D + ABC D + ABC D • El algebra de Boole permite obtener expresiones mas simples: Z=D • También el sentido común: En la tabla de verdad anterior, un número par se identifica cuando el bit menos significativo es 0. 27
  • 28. APLICACIÓN Diseño de alarma Entradas: P-> Puerta , V->Ventana, N->Noche, I-> interruptor Salidas: A-> Alarma La salida (A) se activa si el interruptor está activado y la puerta esta abierta o si es de noche y la ventana esta abierta. 28
  • 29. SOLUCIÓN 29
  • 30. SOLUCIÓN 30