SlideShare una empresa de Scribd logo
1 de 21
Ing. Diego Barragán Guerrero
http://www.decom.fee.unicamp.br/~diego/
Máquinas de estado con VHDL
Introducción
 La sección
combinacional, tiene dos
entradas: pr_state
(estado presente) y la
señal de entrada externa
(input).Además posee
dos salidas: nx_state
(estado siguiente) y
salida externa.
 La sección secuencial
tiene tres entradas: clock,
reset y nx_state y una
salida: pr_state.
 Si la salida de la máquina
depende no solo del
estado presente sino
también de la entrada
actual, se denomina
máquina de estado de
 Diseño de la sección inferior (secuencial)
 Los FF están en la sección inferior, de tal forma que el reloj
y reset se conectan a ella.
 Siendo la sección inferior secuencial, es necesario un
Proceso, en el cual se puede usar cualquier sentencia
secuencial.
 Una plantilla típica de diseño de la sección inferior se
muestra a continuación.
Estilo de diseño 1
Reset
asíncrono
Registro
síncrono
 Diseño de la sección
superior (Combinacional)
 El código contiene dos cosas:
 a) Asignación a la salida;
 b) Establecimiento de estado
siguiente.
 Observar que no hay
asignación a señal hecha en
la transición de otra señal, de
modo que no se infieren FF.
Estilo de diseño 1
Estilo de diseño 1: Plantilla
Tipo enumerado:
contiene todos los
estados.
Sección
secuencial.
Sección
Combinacion
al.
 Un contador es un ejemplo de una máquina de Moore, ya
que la salida depende solo del estado presente.
Ejemplo 1: contador BCD.
Ejemplo 2: FSM simple.
Ejemplo 2: FSM simple.
D = 1
x = a D = 0
 En el primer estilo de diseño, solo el estado presente
(estado_pr) es almacenado.
 En este caso, si se usa una máquina de Mealy (la salida
depende de la entrada actual), la salida cambia cuando la
entrada cambia (salida asíncrona).
 En muchas aplicaciones, las señales deben ser síncronas, de
modo que la salida debe actualizarse solo cuando existe un
pulso de reloj.
 Para hacer una máquina de Mealy síncrona, la salida deber ser
almacenada también.
Estilo de diseño 2
El estilo de diseño 2, usa una señal adicional para contener el valor de la
salida (en la sección superior), pero solo pasa este valor a la salida
cuando un evento de reloj ocurre (sección inferior).
Estilo de diseño 2: Plantilla
La asignación de
la salida solo se
ejecuta al pulso
de reloj.
El estilo de diseño 2, usa una señal
adicional para contener el valor de la
salida (en la sección superior), pero
solo pasa este valor a la salida
cuando un evento de reloj ocurre
(sección inferior).
Estilo de diseño 2: FSM simple.
 Cuando una señal es almacenada, su valor permanece
estático entre dos flancos de reloj. Por lo tanto, si la
entrada (a o b) cambia durante este intervalo, el
cambio no se observará por el circuito.
Estilo de diseño 2: FSM simple.
 El circuito tiene como entrada una cadena serial de bits
y su salida será 1 cuando la secuencia "111" ocurra. En
caso de solapamiento, esto es, una secuencia 0111110
suceda, la salida debe mantenerse activa por tres ciclos
consecutivos de reloj.
Ejemplo: Detector de secuencia.
Ejemplo: Detector de secuencia.
Solapamien
to, la salida
sigue
siendo 1.
Ejemplo: Detector de secuencia (TB).
Notar que la salida no depende de la entrada actual. Todas
las asignaciones a q son incondicionales (esto es, no
dependen de d). Por lo tanto, la salida es automáticamente
sincronizada.
In: …111…
Out:
…001…
Ejemplo: controlador de semáforo.
Se asume que la frecuencia
de reloj es 60Hz (tomada de
la misma fuente de poder).
Ejemplo: controlador de semáforo.
 Para visualizar la salida de forma óptima, se ha
modificado el valor de todas las contantes a 3, excepto
el valor de timeTest, que vale 1. Se espera que el
sistema cambie de estado cada tres ciclos de reloj
cuando está en modo de operación regular, o cada ciclo
de reloj si está en mode Test.
Ejemplo: controlador de semáforo.
 Se diseñará dos
máquinas de estado,
una que operará
exclusivamente en la
transición positiva del
reloj y otra que operará
exclusivamente en el
flanco negativo. Esto
generará la señal
alternativa out 1 y out2 .
Estas señales serán
tratadas con la
operación and para
obtener la señal
deseada.
 Notar que el circuito no
posee entradas
externas (excepto la
señal de reloj), de modo
Ejemplo: generador de funciones.
Ejemplo: generador de funciones.
0 1 1
1 0 1
Referencias:
 Volnei A. Pedroni. 2004. Circuit Design with
VHDL. MIT Press, Cambridge, MA, USA.
 Maxinez, D.G., Alcalá, J. 2003. VHDL: el arte de
programar sistemas digitales. Compañía Editorial
Continental.

Más contenido relacionado

La actualidad más candente

Electronica digital, compuertas, tabla de verdad
Electronica digital, compuertas, tabla de verdadElectronica digital, compuertas, tabla de verdad
Electronica digital, compuertas, tabla de verdad
Angel Rodriguez S
 
SeñAles Y Sistemas1
SeñAles Y Sistemas1SeñAles Y Sistemas1
SeñAles Y Sistemas1
Matematissen
 
54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops
SENA-CIMI-GIRON
 
Amplificador y pequeña señal bjt
Amplificador y pequeña señal bjtAmplificador y pequeña señal bjt
Amplificador y pequeña señal bjt
johan muñoz
 

La actualidad más candente (20)

Electronica digital, compuertas, tabla de verdad
Electronica digital, compuertas, tabla de verdadElectronica digital, compuertas, tabla de verdad
Electronica digital, compuertas, tabla de verdad
 
Disparo de los Flip Flop
Disparo de los Flip FlopDisparo de los Flip Flop
Disparo de los Flip Flop
 
Logix Pro manual.pdf
Logix Pro manual.pdfLogix Pro manual.pdf
Logix Pro manual.pdf
 
SeñAles Y Sistemas1
SeñAles Y Sistemas1SeñAles Y Sistemas1
SeñAles Y Sistemas1
 
Sumadores,codificadores, decodificadores,multiplexores y demultiplexores
Sumadores,codificadores, decodificadores,multiplexores y demultiplexoresSumadores,codificadores, decodificadores,multiplexores y demultiplexores
Sumadores,codificadores, decodificadores,multiplexores y demultiplexores
 
Sistemas de control para ingenieria. 3ra edición Norman S. Nise
Sistemas de control para ingenieria.  3ra edición  Norman S. NiseSistemas de control para ingenieria.  3ra edición  Norman S. Nise
Sistemas de control para ingenieria. 3ra edición Norman S. Nise
 
48820741 manual-proteus
48820741 manual-proteus48820741 manual-proteus
48820741 manual-proteus
 
Amplificadores Multietapa
Amplificadores MultietapaAmplificadores Multietapa
Amplificadores Multietapa
 
Ejemplo de semáforo
Ejemplo de  semáforoEjemplo de  semáforo
Ejemplo de semáforo
 
Sesion contadores y registros
Sesion  contadores y registrosSesion  contadores y registros
Sesion contadores y registros
 
T4
T4T4
T4
 
CPI2 - CLASE 1 Y 2
CPI2 - CLASE 1 Y 2 CPI2 - CLASE 1 Y 2
CPI2 - CLASE 1 Y 2
 
ingeniería de control moderna 5ta Edición Katsuhiko Ogata.pdf
ingeniería de control moderna 5ta Edición Katsuhiko Ogata.pdfingeniería de control moderna 5ta Edición Katsuhiko Ogata.pdf
ingeniería de control moderna 5ta Edición Katsuhiko Ogata.pdf
 
EL TRANSISTOR BJT
EL TRANSISTOR BJTEL TRANSISTOR BJT
EL TRANSISTOR BJT
 
compuertas_logicas.pdf
compuertas_logicas.pdfcompuertas_logicas.pdf
compuertas_logicas.pdf
 
54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops
 
Sesion 7 S Dbos
Sesion 7 S DbosSesion 7 S Dbos
Sesion 7 S Dbos
 
Amplificador y pequeña señal bjt
Amplificador y pequeña señal bjtAmplificador y pequeña señal bjt
Amplificador y pequeña señal bjt
 
Timer 0 - Pic16F877A
Timer 0 - Pic16F877ATimer 0 - Pic16F877A
Timer 0 - Pic16F877A
 
RESPUESTA EN FRECUENCIA (Métodos del Diagrama de Bode y del Diagrama Polar)
RESPUESTA EN FRECUENCIA (Métodos del Diagrama de Bode y del Diagrama Polar)RESPUESTA EN FRECUENCIA (Métodos del Diagrama de Bode y del Diagrama Polar)
RESPUESTA EN FRECUENCIA (Métodos del Diagrama de Bode y del Diagrama Polar)
 

Similar a Electrónica digital: maquinas de estado con VHDL

Similar a Electrónica digital: maquinas de estado con VHDL (20)

Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital  - circuitos secuencialesMaquinas de estado con VHDL - electronica digital  - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
 
Electrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDLElectrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDL
 
Electrónica digital: Tema 5 Circuitos secuenciales
Electrónica digital: Tema 5 Circuitos secuenciales Electrónica digital: Tema 5 Circuitos secuenciales
Electrónica digital: Tema 5 Circuitos secuenciales
 
006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador
 
Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales
 
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
 
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
 
Contador asíncrono binario
Contador asíncrono binarioContador asíncrono binario
Contador asíncrono binario
 
004 Electroneumática y Neumática: Método de cascada
004 Electroneumática y Neumática: Método de cascada 004 Electroneumática y Neumática: Método de cascada
004 Electroneumática y Neumática: Método de cascada
 
Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18
 
Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales
 
Electrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLElectrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDL
 
SistemasControl.ppt
SistemasControl.pptSistemasControl.ppt
SistemasControl.ppt
 
Ctrl discreto de un motor de cc en velocidad
Ctrl discreto de un motor de cc en velocidadCtrl discreto de un motor de cc en velocidad
Ctrl discreto de un motor de cc en velocidad
 
Sistemas control
Sistemas controlSistemas control
Sistemas control
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros
 
CURSO DE CAPACITACION CARBÓN II_01.pdf
CURSO DE CAPACITACION CARBÓN II_01.pdfCURSO DE CAPACITACION CARBÓN II_01.pdf
CURSO DE CAPACITACION CARBÓN II_01.pdf
 
PLC: Sistemas lógicos y secuenciales
PLC: Sistemas lógicos y secuenciales PLC: Sistemas lógicos y secuenciales
PLC: Sistemas lógicos y secuenciales
 

Más de SANTIAGO PABLO ALBERTO

Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
SANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
SANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
SANTIAGO PABLO ALBERTO
 

Más de SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Último

NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptxNTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
BRAYANJOSEPTSANJINEZ
 
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
gustavoiashalom
 
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdfLA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
bcondort
 
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
CristhianZetaNima
 

Último (20)

DOCUMENTO PLAN DE RESPUESTA A EMERGENCIAS MINERAS
DOCUMENTO PLAN DE RESPUESTA A EMERGENCIAS MINERASDOCUMENTO PLAN DE RESPUESTA A EMERGENCIAS MINERAS
DOCUMENTO PLAN DE RESPUESTA A EMERGENCIAS MINERAS
 
nomenclatura de equipo electrico en subestaciones
nomenclatura de equipo electrico en subestacionesnomenclatura de equipo electrico en subestaciones
nomenclatura de equipo electrico en subestaciones
 
aCARGA y FUERZA UNI 19 marzo 2024-22.ppt
aCARGA y FUERZA UNI 19 marzo 2024-22.pptaCARGA y FUERZA UNI 19 marzo 2024-22.ppt
aCARGA y FUERZA UNI 19 marzo 2024-22.ppt
 
Mapas y cartas topográficas y de suelos.pptx
Mapas y cartas topográficas y de suelos.pptxMapas y cartas topográficas y de suelos.pptx
Mapas y cartas topográficas y de suelos.pptx
 
hitos del desarrollo psicomotor en niños.docx
hitos del desarrollo psicomotor en niños.docxhitos del desarrollo psicomotor en niños.docx
hitos del desarrollo psicomotor en niños.docx
 
desarrollodeproyectoss inge. industrial
desarrollodeproyectoss  inge. industrialdesarrollodeproyectoss  inge. industrial
desarrollodeproyectoss inge. industrial
 
osciloscopios Mediciones Electricas ingenieria.pdf
osciloscopios Mediciones Electricas ingenieria.pdfosciloscopios Mediciones Electricas ingenieria.pdf
osciloscopios Mediciones Electricas ingenieria.pdf
 
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptxNTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
 
Quimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdfQuimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdf
 
Obras paralizadas en el sector construcción
Obras paralizadas en el sector construcciónObras paralizadas en el sector construcción
Obras paralizadas en el sector construcción
 
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
 
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfReporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
 
PostgreSQL on Kubernetes Using GitOps and ArgoCD
PostgreSQL on Kubernetes Using GitOps and ArgoCDPostgreSQL on Kubernetes Using GitOps and ArgoCD
PostgreSQL on Kubernetes Using GitOps and ArgoCD
 
Controladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y VentajasControladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y Ventajas
 
Comite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptxComite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptx
 
Maquinaria Agricola utilizada en la produccion de Piña.pdf
Maquinaria Agricola utilizada en la produccion de Piña.pdfMaquinaria Agricola utilizada en la produccion de Piña.pdf
Maquinaria Agricola utilizada en la produccion de Piña.pdf
 
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICAINTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
 
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdfLA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
 
clasificasion de vias arteriales , vias locales
clasificasion de vias arteriales , vias localesclasificasion de vias arteriales , vias locales
clasificasion de vias arteriales , vias locales
 
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
 

Electrónica digital: maquinas de estado con VHDL

  • 1. Ing. Diego Barragán Guerrero http://www.decom.fee.unicamp.br/~diego/ Máquinas de estado con VHDL
  • 2. Introducción  La sección combinacional, tiene dos entradas: pr_state (estado presente) y la señal de entrada externa (input).Además posee dos salidas: nx_state (estado siguiente) y salida externa.  La sección secuencial tiene tres entradas: clock, reset y nx_state y una salida: pr_state.  Si la salida de la máquina depende no solo del estado presente sino también de la entrada actual, se denomina máquina de estado de
  • 3.  Diseño de la sección inferior (secuencial)  Los FF están en la sección inferior, de tal forma que el reloj y reset se conectan a ella.  Siendo la sección inferior secuencial, es necesario un Proceso, en el cual se puede usar cualquier sentencia secuencial.  Una plantilla típica de diseño de la sección inferior se muestra a continuación. Estilo de diseño 1 Reset asíncrono Registro síncrono
  • 4.  Diseño de la sección superior (Combinacional)  El código contiene dos cosas:  a) Asignación a la salida;  b) Establecimiento de estado siguiente.  Observar que no hay asignación a señal hecha en la transición de otra señal, de modo que no se infieren FF. Estilo de diseño 1
  • 5. Estilo de diseño 1: Plantilla Tipo enumerado: contiene todos los estados. Sección secuencial. Sección Combinacion al.
  • 6.  Un contador es un ejemplo de una máquina de Moore, ya que la salida depende solo del estado presente. Ejemplo 1: contador BCD.
  • 7. Ejemplo 2: FSM simple.
  • 8. Ejemplo 2: FSM simple. D = 1 x = a D = 0
  • 9.  En el primer estilo de diseño, solo el estado presente (estado_pr) es almacenado.  En este caso, si se usa una máquina de Mealy (la salida depende de la entrada actual), la salida cambia cuando la entrada cambia (salida asíncrona).  En muchas aplicaciones, las señales deben ser síncronas, de modo que la salida debe actualizarse solo cuando existe un pulso de reloj.  Para hacer una máquina de Mealy síncrona, la salida deber ser almacenada también. Estilo de diseño 2 El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).
  • 10. Estilo de diseño 2: Plantilla La asignación de la salida solo se ejecuta al pulso de reloj. El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).
  • 11. Estilo de diseño 2: FSM simple.
  • 12.  Cuando una señal es almacenada, su valor permanece estático entre dos flancos de reloj. Por lo tanto, si la entrada (a o b) cambia durante este intervalo, el cambio no se observará por el circuito. Estilo de diseño 2: FSM simple.
  • 13.  El circuito tiene como entrada una cadena serial de bits y su salida será 1 cuando la secuencia "111" ocurra. En caso de solapamiento, esto es, una secuencia 0111110 suceda, la salida debe mantenerse activa por tres ciclos consecutivos de reloj. Ejemplo: Detector de secuencia.
  • 14. Ejemplo: Detector de secuencia. Solapamien to, la salida sigue siendo 1.
  • 15. Ejemplo: Detector de secuencia (TB). Notar que la salida no depende de la entrada actual. Todas las asignaciones a q son incondicionales (esto es, no dependen de d). Por lo tanto, la salida es automáticamente sincronizada. In: …111… Out: …001…
  • 16. Ejemplo: controlador de semáforo. Se asume que la frecuencia de reloj es 60Hz (tomada de la misma fuente de poder).
  • 18.  Para visualizar la salida de forma óptima, se ha modificado el valor de todas las contantes a 3, excepto el valor de timeTest, que vale 1. Se espera que el sistema cambie de estado cada tres ciclos de reloj cuando está en modo de operación regular, o cada ciclo de reloj si está en mode Test. Ejemplo: controlador de semáforo.
  • 19.  Se diseñará dos máquinas de estado, una que operará exclusivamente en la transición positiva del reloj y otra que operará exclusivamente en el flanco negativo. Esto generará la señal alternativa out 1 y out2 . Estas señales serán tratadas con la operación and para obtener la señal deseada.  Notar que el circuito no posee entradas externas (excepto la señal de reloj), de modo Ejemplo: generador de funciones.
  • 20. Ejemplo: generador de funciones. 0 1 1 1 0 1
  • 21. Referencias:  Volnei A. Pedroni. 2004. Circuit Design with VHDL. MIT Press, Cambridge, MA, USA.  Maxinez, D.G., Alcalá, J. 2003. VHDL: el arte de programar sistemas digitales. Compañía Editorial Continental.