SlideShare una empresa de Scribd logo
1 de 21
Ing. Diego Barragán Guerrero
http://www.decom.fee.unicamp.br/~diego/
Máquinas de estado con VHDL
Introducción
 La sección
combinacional, tiene dos
entradas: pr_state
(estado presente) y la
señal de entrada externa
(input).Además posee
dos salidas: nx_state
(estado siguiente) y
salida externa.
 La sección secuencial
tiene tres entradas: clock,
reset y nx_state y una
salida: pr_state.
 Si la salida de la máquina
depende no solo del
estado presente sino
también de la entrada
actual, se denomina
máquina de estado de
 Diseño de la sección inferior (secuencial)
 Los FF están en la sección inferior, de tal forma que el reloj
y reset se conectan a ella.
 Siendo la sección inferior secuencial, es necesario un
Proceso, en el cual se puede usar cualquier sentencia
secuencial.
 Una plantilla típica de diseño de la sección inferior se
muestra a continuación.
Estilo de diseño 1
Reset
asíncrono
Registro
síncrono
 Diseño de la sección
superior (Combinacional)
 El código contiene dos cosas:
 a) Asignación a la salida;
 b) Establecimiento de estado
siguiente.
 Observar que no hay
asignación a señal hecha en
la transición de otra señal, de
modo que no se infieren FF.
Estilo de diseño 1
Estilo de diseño 1: Plantilla
Tipo enumerado:
contiene todos los
estados.
Sección
secuencial.
Sección
Combinacion
al.
 Un contador es un ejemplo de una máquina de Moore, ya
que la salida depende solo del estado presente.
Ejemplo 1: contador BCD.
Ejemplo 2: FSM simple.
Ejemplo 2: FSM simple.
D = 1
x = a D = 0
 En el primer estilo de diseño, solo el estado presente
(estado_pr) es almacenado.
 En este caso, si se usa una máquina de Mealy (la salida
depende de la entrada actual), la salida cambia cuando la
entrada cambia (salida asíncrona).
 En muchas aplicaciones, las señales deben ser síncronas, de
modo que la salida debe actualizarse solo cuando existe un
pulso de reloj.
 Para hacer una máquina de Mealy síncrona, la salida deber ser
almacenada también.
Estilo de diseño 2
El estilo de diseño 2, usa una señal adicional para contener el valor de la
salida (en la sección superior), pero solo pasa este valor a la salida
cuando un evento de reloj ocurre (sección inferior).
Estilo de diseño 2: Plantilla
La asignación de
la salida solo se
ejecuta al pulso
de reloj.
El estilo de diseño 2, usa una señal
adicional para contener el valor de la
salida (en la sección superior), pero
solo pasa este valor a la salida
cuando un evento de reloj ocurre
(sección inferior).
Estilo de diseño 2: FSM simple.
 Cuando una señal es almacenada, su valor permanece
estático entre dos flancos de reloj. Por lo tanto, si la
entrada (a o b) cambia durante este intervalo, el
cambio no se observará por el circuito.
Estilo de diseño 2: FSM simple.
 El circuito tiene como entrada una cadena serial de bits
y su salida será 1 cuando la secuencia "111" ocurra. En
caso de solapamiento, esto es, una secuencia 0111110
suceda, la salida debe mantenerse activa por tres ciclos
consecutivos de reloj.
Ejemplo: Detector de secuencia.
Ejemplo: Detector de secuencia.
Solapamien
to, la salida
sigue
siendo 1.
Ejemplo: Detector de secuencia (TB).
Notar que la salida no depende de la entrada actual. Todas
las asignaciones a q son incondicionales (esto es, no
dependen de d). Por lo tanto, la salida es automáticamente
sincronizada.
In: …111…
Out:
…001…
Ejemplo: controlador de semáforo.
Se asume que la frecuencia
de reloj es 60Hz (tomada de
la misma fuente de poder).
Ejemplo: controlador de semáforo.
 Para visualizar la salida de forma óptima, se ha
modificado el valor de todas las contantes a 3, excepto
el valor de timeTest, que vale 1. Se espera que el
sistema cambie de estado cada tres ciclos de reloj
cuando está en modo de operación regular, o cada ciclo
de reloj si está en mode Test.
Ejemplo: controlador de semáforo.
 Se diseñará dos
máquinas de estado,
una que operará
exclusivamente en la
transición positiva del
reloj y otra que operará
exclusivamente en el
flanco negativo. Esto
generará la señal
alternativa out 1 y out2 .
Estas señales serán
tratadas con la
operación and para
obtener la señal
deseada.
 Notar que el circuito no
posee entradas
externas (excepto la
señal de reloj), de modo
Ejemplo: generador de funciones.
Ejemplo: generador de funciones.
0 1 1
1 0 1
Referencias:
 Volnei A. Pedroni. 2004. Circuit Design with
VHDL. MIT Press, Cambridge, MA, USA.
 Maxinez, D.G., Alcalá, J. 2003. VHDL: el arte de
programar sistemas digitales. Compañía Editorial
Continental.

Más contenido relacionado

La actualidad más candente

Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
Cristian Rodriguez
 
Unidad1 sd2
Unidad1 sd2Unidad1 sd2
Unidad1 sd2
haibsel
 
Lógica Secuencial FF-Contad-Reg
Lógica Secuencial  FF-Contad-RegLógica Secuencial  FF-Contad-Reg
Lógica Secuencial FF-Contad-Reg
Edgar Rivera
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
juan130591
 

La actualidad más candente (20)

Semaforos
SemaforosSemaforos
Semaforos
 
cir_digitales
 cir_digitales cir_digitales
cir_digitales
 
ciruitos digitales
ciruitos digitalesciruitos digitales
ciruitos digitales
 
Circuitos secuenciales ejemplos
Circuitos secuenciales ejemplosCircuitos secuenciales ejemplos
Circuitos secuenciales ejemplos
 
T9 circuitos secuenciales
T9 circuitos secuencialesT9 circuitos secuenciales
T9 circuitos secuenciales
 
Tarea de circuitos digitales
Tarea de circuitos digitalesTarea de circuitos digitales
Tarea de circuitos digitales
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
 
TMR0 Y RB0_INT
TMR0 Y RB0_INTTMR0 Y RB0_INT
TMR0 Y RB0_INT
 
CONTADORES
CONTADORES CONTADORES
CONTADORES
 
Automatizacion ejercicios
Automatizacion ejerciciosAutomatizacion ejercicios
Automatizacion ejercicios
 
Conexión en cascada
Conexión en cascadaConexión en cascada
Conexión en cascada
 
Unidad1 sd2
Unidad1 sd2Unidad1 sd2
Unidad1 sd2
 
Practica 7 Flip Flop
Practica 7 Flip FlopPractica 7 Flip Flop
Practica 7 Flip Flop
 
Disparo de los Flip Flop
Disparo de los Flip FlopDisparo de los Flip Flop
Disparo de los Flip Flop
 
Lógica Secuencial FF-Contad-Reg
Lógica Secuencial  FF-Contad-RegLógica Secuencial  FF-Contad-Reg
Lógica Secuencial FF-Contad-Reg
 
Maquinas de Estado Finito
Maquinas de Estado FinitoMaquinas de Estado Finito
Maquinas de Estado Finito
 
Maquinas de Estado Finito
Maquinas de Estado FinitoMaquinas de Estado Finito
Maquinas de Estado Finito
 
Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)
 
Logica secuencial
Logica secuencialLogica secuencial
Logica secuencial
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 

Similar a Electrónica digital: maquinas de estado con VHDL

Similar a Electrónica digital: maquinas de estado con VHDL (20)

Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital  - circuitos secuencialesMaquinas de estado con VHDL - electronica digital  - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
 
Clase 3 cdii
Clase 3 cdiiClase 3 cdii
Clase 3 cdii
 
Taller 4 josue stanley lazo rivera
Taller 4 josue stanley lazo riveraTaller 4 josue stanley lazo rivera
Taller 4 josue stanley lazo rivera
 
Contadores (electronica)
Contadores (electronica)Contadores (electronica)
Contadores (electronica)
 
Clase 2 cdii
Clase 2 cdiiClase 2 cdii
Clase 2 cdii
 
Td apunte8
Td apunte8Td apunte8
Td apunte8
 
006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador
 
Osciloscopio (1)
Osciloscopio (1)Osciloscopio (1)
Osciloscopio (1)
 
Clase 3 cdii
Clase 3 cdiiClase 3 cdii
Clase 3 cdii
 
Electrónica digital
Electrónica digitalElectrónica digital
Electrónica digital
 
006. diseño de circuitos neumaticos metodo secuenciador
006. diseño de circuitos neumaticos metodo secuenciador006. diseño de circuitos neumaticos metodo secuenciador
006. diseño de circuitos neumaticos metodo secuenciador
 
Pwm modulation
Pwm modulationPwm modulation
Pwm modulation
 
Digitales
DigitalesDigitales
Digitales
 
Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales
 
Lógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-RegLógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-Reg
 
Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18
 
Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Trabajo sobre Flip Flop
Trabajo sobre Flip FlopTrabajo sobre Flip Flop
Trabajo sobre Flip Flop
 
Trabajo de electronica digital
Trabajo de electronica digitalTrabajo de electronica digital
Trabajo de electronica digital
 

Más de SANTIAGO PABLO ALBERTO

Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
SANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
SANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
SANTIAGO PABLO ALBERTO
 

Más de SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Último

ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
gustavoiashalom
 
tesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa mariatesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa maria
susafy7
 
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
nicolascastaneda8
 
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdfMODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
vladimirpaucarmontes
 

Último (20)

Elaboración de la estructura del ADN y ARN en papel.pdf
Elaboración de la estructura del ADN y ARN en papel.pdfElaboración de la estructura del ADN y ARN en papel.pdf
Elaboración de la estructura del ADN y ARN en papel.pdf
 
Clasificación de Equipos e Instrumentos en Electricidad.docx
Clasificación de Equipos e Instrumentos en Electricidad.docxClasificación de Equipos e Instrumentos en Electricidad.docx
Clasificación de Equipos e Instrumentos en Electricidad.docx
 
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
 
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
 
ingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptxingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptx
 
tesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa mariatesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa maria
 
ATS-FORMATO cara.pdf PARA TRABAJO SEGURO
ATS-FORMATO cara.pdf  PARA TRABAJO SEGUROATS-FORMATO cara.pdf  PARA TRABAJO SEGURO
ATS-FORMATO cara.pdf PARA TRABAJO SEGURO
 
CALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptxCALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptx
 
Propuesta para la creación de un Centro de Innovación para la Refundación ...
Propuesta para la creación de un Centro de Innovación para la Refundación ...Propuesta para la creación de un Centro de Innovación para la Refundación ...
Propuesta para la creación de un Centro de Innovación para la Refundación ...
 
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICAINTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
INTEGRALES TRIPLES CLASE TEORICA Y PRÁCTICA
 
Herramientas de la productividad - Revit
Herramientas de la productividad - RevitHerramientas de la productividad - Revit
Herramientas de la productividad - Revit
 
Presentacion de la ganaderia en la región
Presentacion de la ganaderia en la regiónPresentacion de la ganaderia en la región
Presentacion de la ganaderia en la región
 
Tippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.ppt
Tippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.pptTippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.ppt
Tippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.ppt
 
Ficha Tecnica de Ladrillos de Tabique de diferentes modelos
Ficha Tecnica de Ladrillos de Tabique de diferentes modelosFicha Tecnica de Ladrillos de Tabique de diferentes modelos
Ficha Tecnica de Ladrillos de Tabique de diferentes modelos
 
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
4º Clase Laboratorio (2024) Completo Mezclas Asfalticas Caliente (1).pdf
 
2. Cristaloquimica. ingenieria geologica
2. Cristaloquimica. ingenieria geologica2. Cristaloquimica. ingenieria geologica
2. Cristaloquimica. ingenieria geologica
 
Análisis_y_Diseño_de_Estructuras_con_SAP_2000,_5ta_Edición_ICG.pdf
Análisis_y_Diseño_de_Estructuras_con_SAP_2000,_5ta_Edición_ICG.pdfAnálisis_y_Diseño_de_Estructuras_con_SAP_2000,_5ta_Edición_ICG.pdf
Análisis_y_Diseño_de_Estructuras_con_SAP_2000,_5ta_Edición_ICG.pdf
 
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdfMODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
 
Quimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdfQuimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdf
 
Aportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
Aportes a la Arquitectura de Le Corbusier y Mies Van der RoheAportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
Aportes a la Arquitectura de Le Corbusier y Mies Van der Rohe
 

Electrónica digital: maquinas de estado con VHDL

  • 1. Ing. Diego Barragán Guerrero http://www.decom.fee.unicamp.br/~diego/ Máquinas de estado con VHDL
  • 2. Introducción  La sección combinacional, tiene dos entradas: pr_state (estado presente) y la señal de entrada externa (input).Además posee dos salidas: nx_state (estado siguiente) y salida externa.  La sección secuencial tiene tres entradas: clock, reset y nx_state y una salida: pr_state.  Si la salida de la máquina depende no solo del estado presente sino también de la entrada actual, se denomina máquina de estado de
  • 3.  Diseño de la sección inferior (secuencial)  Los FF están en la sección inferior, de tal forma que el reloj y reset se conectan a ella.  Siendo la sección inferior secuencial, es necesario un Proceso, en el cual se puede usar cualquier sentencia secuencial.  Una plantilla típica de diseño de la sección inferior se muestra a continuación. Estilo de diseño 1 Reset asíncrono Registro síncrono
  • 4.  Diseño de la sección superior (Combinacional)  El código contiene dos cosas:  a) Asignación a la salida;  b) Establecimiento de estado siguiente.  Observar que no hay asignación a señal hecha en la transición de otra señal, de modo que no se infieren FF. Estilo de diseño 1
  • 5. Estilo de diseño 1: Plantilla Tipo enumerado: contiene todos los estados. Sección secuencial. Sección Combinacion al.
  • 6.  Un contador es un ejemplo de una máquina de Moore, ya que la salida depende solo del estado presente. Ejemplo 1: contador BCD.
  • 7. Ejemplo 2: FSM simple.
  • 8. Ejemplo 2: FSM simple. D = 1 x = a D = 0
  • 9.  En el primer estilo de diseño, solo el estado presente (estado_pr) es almacenado.  En este caso, si se usa una máquina de Mealy (la salida depende de la entrada actual), la salida cambia cuando la entrada cambia (salida asíncrona).  En muchas aplicaciones, las señales deben ser síncronas, de modo que la salida debe actualizarse solo cuando existe un pulso de reloj.  Para hacer una máquina de Mealy síncrona, la salida deber ser almacenada también. Estilo de diseño 2 El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).
  • 10. Estilo de diseño 2: Plantilla La asignación de la salida solo se ejecuta al pulso de reloj. El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).
  • 11. Estilo de diseño 2: FSM simple.
  • 12.  Cuando una señal es almacenada, su valor permanece estático entre dos flancos de reloj. Por lo tanto, si la entrada (a o b) cambia durante este intervalo, el cambio no se observará por el circuito. Estilo de diseño 2: FSM simple.
  • 13.  El circuito tiene como entrada una cadena serial de bits y su salida será 1 cuando la secuencia "111" ocurra. En caso de solapamiento, esto es, una secuencia 0111110 suceda, la salida debe mantenerse activa por tres ciclos consecutivos de reloj. Ejemplo: Detector de secuencia.
  • 14. Ejemplo: Detector de secuencia. Solapamien to, la salida sigue siendo 1.
  • 15. Ejemplo: Detector de secuencia (TB). Notar que la salida no depende de la entrada actual. Todas las asignaciones a q son incondicionales (esto es, no dependen de d). Por lo tanto, la salida es automáticamente sincronizada. In: …111… Out: …001…
  • 16. Ejemplo: controlador de semáforo. Se asume que la frecuencia de reloj es 60Hz (tomada de la misma fuente de poder).
  • 18.  Para visualizar la salida de forma óptima, se ha modificado el valor de todas las contantes a 3, excepto el valor de timeTest, que vale 1. Se espera que el sistema cambie de estado cada tres ciclos de reloj cuando está en modo de operación regular, o cada ciclo de reloj si está en mode Test. Ejemplo: controlador de semáforo.
  • 19.  Se diseñará dos máquinas de estado, una que operará exclusivamente en la transición positiva del reloj y otra que operará exclusivamente en el flanco negativo. Esto generará la señal alternativa out 1 y out2 . Estas señales serán tratadas con la operación and para obtener la señal deseada.  Notar que el circuito no posee entradas externas (excepto la señal de reloj), de modo Ejemplo: generador de funciones.
  • 20. Ejemplo: generador de funciones. 0 1 1 1 0 1
  • 21. Referencias:  Volnei A. Pedroni. 2004. Circuit Design with VHDL. MIT Press, Cambridge, MA, USA.  Maxinez, D.G., Alcalá, J. 2003. VHDL: el arte de programar sistemas digitales. Compañía Editorial Continental.