SlideShare una empresa de Scribd logo
MAQUINA DE ESTADO
FINITO
(FSM)
Autómata finito
Modelo de Mealy
E
Qt
Qt+1
S
Lógica del
Lógica del
próximo
próximo
estado
estado
Lógica
Lógica
de salida
de salida
M
E
M
O
R
I
A
Qt+1 = f (E, Qt) S = g (E, Qt)
Ck
Modelo de Moore
E
Qt
Qt+1
S
Lógica del
Lógica del
próximo
próximo
estado
estado
Lógica
Lógica
de salida
de salida
M
E
M
O
R
I
A
Qt+1 = f (E, Qt) S = g (E, Qt)
Ck
q1
e1
q2
e2
e2
TRANSICIÓN DE ESTADOS
En el ambiente ISE tenemos distintas
alternativas para describir una FSM:
• Con el código VHDL
• Con el editor de máquina de estado
• Con captura de esquemas
Implementación con Xilinx Synthesis
Technology (XST)
Esta herramienta reconoce (y entonces
optimiza) tres tipos (formatos) de
descripciones (sincrónicas):
• Descripción en un sólo proceso
• Descripción en dos procesos
• Descripción en tres procesos
entity
…..
end entity
Architecture …
- Definición de señales…
Definición de una señal tipo enumerada para representar el “estado”
(ejemplo: est1, est2, etc)
begin
porcess (clk, reset)
begin
-Llevar al sistema a condiciones iniciales con “reset” (estados y salidas)
- Con el flanco activo del reloj hacer (clk’event and clk=’1’):
Case estado is
when est1
- - -
- - - próximos estados
- - -
- - -
- - - salidas
- - -
- - -
when est2
- - -
- - -
- - -
end process
end architecture
s2
s1
s3
s4
x1
outp=’1'
outp=’0'
outp=’1'
reset
x1
outp=’0'
Puede ser
reemplazado por
sentencias de
asignación
concurrentes
s2
s1
s3
s4
x1
outp=’1'
outp=’0'
outp=’1'
reset
x1
outp=’0'
entity
…..
end entity
Architecture …
- Definición de señales…
Definición de dos señales tipo enumerada para representar el “estado” y
el “proximo_estado”
(ejemplo: est1, est2, etc)
begin
Proceso_1: porcess (clk, reset)
begin
-Llevar al sistema a condiciones iniciales con “reset” (estados)
- Con el flanco activo del reloj hacer (clk’event and clk=’1’):
estado <= proximo_estado
end process Proceso_1
Proceso_2: process (estado, entrada1, entrada2, …)
Case estado is
when est1 =>
- - -
- - - próximos estados (ej.: proximo_estado <= est1)
- - -
when est2 =>
- - -
- - - próximos estados
- - -
end process: Proceso_2
Proceso_3: porcess (estado)
Case estado is
when est1 => (salidas)
when est2 => (salidas)
- - -
- - -
end process Proceso_3
end architecture
Detectar la secuencia 0-0-1
(por Moore)
1
1 0
0
0
1
0
1
2
3
4
1
1
1 0
0
0
1
0
1
2
3
4
1
O O
O
1
Con VHDL
Declaración de la entidad
-- !!!! MAQUINA DE MOORE !!!!
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Maq_sinc is
Port ( reset : in std_logic;
e : in std_logic;
ck : in std_logic;
s : out std_logic);
end Maq_sinc;
Con VHDL (contin.)
Declaración de la arquitectura
architecture Behavioral of Maq_sinc is
type estados is (est1, est2, est3, est4);
signal est_actual: estados:= est1;
begin
process (reset, ck)
begin
if reset = '1' then est_actual<= est1 ;
elsif ck='1' and ck'event then
case est_actual is
when est1 => if e='1' then est_actual <= est1; else est_actual <= est2;
end if;
when est2 => if e='1' then est_actual <= est1; else est_actual <= est3;
end if;
when est3 => if e='1' then est_actual <= est4; else est_actual <= est3;
end if;
when est4 => if e='1' then est_actual <= est1; else est_actual <= est2;
end if;
end case;
end if;
end process;
process (est_actual)
begin
case est_actual is
when est1 => s<='0';when est2 => s<='0';when est3 => s<='0';when est4 => s<='1';
end case;
end process;
end Behavioral;
Resultado de la simulación
SEMÁFORO
• En la intersección de una carretera y un camino vecinal se
instala un semáforo con el siguiente comportamiento:
– En el estado inicial indica verde para la carretera y rojo en el camino
– Existen sensores (sensor) que detectan la presencia de vehículos en
el camino, cuando esto ocurre se habilita el tránsito por el camino
durante 10 segundos.
– Transcurrido los 10 segundos, se pone verde la carretera y durante
20 segundos no se atiende la señal del sensor.
– Se dispone de una señal de reloj de frecuencia de 1Hz.
– La evolución de los semáforos es la estándar (verde, amarillo, rojo)
Caja negra para modelar según una Red de
Caja negra para modelar según una Red de Petri
Petri
Red de
Red de Petri
Petri
DIAGRAMA DE ESTADOS
DIAGRAMA DE ESTADOS
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity FSM is
Port ( sensor : in std_logic;
reset : in std_logic;
clk : in std_logic;
sem_carre : out std_logic_vector(2 downto 0);
sem_camino : out std_logic_vector(2 downto 0));
end FSM;
architecture Behavioral of FSM is
type estado is (inicial, carre_amari, cami_verde, cami_amari,espera);
constant verde :std_logic_vector (2 downto 0):= "001";
constant amarillo :std_logic_vector (2 downto 0):= "010";
constant rojo :std_logic_vector (2 downto 0):= "100";
signal estado_actual : estado:=inicial;
signal reset_cuenta : boolean:= false;
signal fin_cuenta_10, fin_cuenta_20 : boolean;
signal cuenta : integer range 0 to 63;
BEGIN
-- Definimos la máquina de estados
MAQUINA:
process (clk, reset)
begin
if reset ='1' then
estado_actual <= inicial;
elsif clk='1' and clk'event then
CASE estado_actual IS
WHEN inicial => --(1)
if sensor ='1' then
estado_actual <=carre_amari; --(2)
end if;
WHEN carre_amari => --(2)
estado_actual <= cami_verde; --(3)
WHEN cami_verde => --(3)
if fin_cuenta_10 then
estado_actual <= cami_amari; --(4)
end if;
WHEN cami_amari => --(4)
estado_actual <= espera; --(5)
WHEN espera => --(5)
if fin_cuenta_20 then
estado_actual <= inicial; --(1)
end if;
END CASE ;
end if;
end process MAQUINA;
1
2
3
4
5
V1, R2
cuenta =0
A1, R2
cuenta =0
R1, V2
cuenta= cuenta +1
R1, A2
cuenta = 0
V1, R2
cuenta = cuenta +1
sensor
Fin_cuenta_10
Fin_cuenta_30
SALIDA:
process (estado_actual)
begin
CASE estado_actual is
WHEN inicial => --(1)
sem_carre <= verde;
sem_camino <= rojo;
reset_cuenta <= true;
WHEN carre_amari => --(2)
sem_carre <= amarillo;
sem_camino <= rojo;
reset_cuenta <= true;
WHEN cami_verde => --(3)
sem_carre <= rojo;
sem_camino <=verde;
reset_cuenta <= false;
WHEN cami_amari => -- (4)
sem_carre <= rojo;
sem_camino <= amarillo;
reset_cuenta <= true;
WHEN espera => --(5)
sem_carre <= verde;
sem_camino <= rojo;
reset_cuenta <= false;
END CASE;
end process salida;
1
2
3
4
5
V1, R2
cuenta =0
A1, R2
cuenta =0
R1, V2
cuenta= cuenta +1
R1, A2
cuenta = 0
V1, R2
cuenta = cuenta +1
sensor
Fin_cuenta_10
Fin_cuenta_30
-- Definición del contador
CONTADOR:
process (clk)
begin
if clk='1' and clk'event then
if reset_cuenta then cuenta <= 0;
else cuenta <= cuenta + 1;
end if;
end if;
end process contador;
-- Detección de las finalizaciones de tiempos 10s y 20s
fin_cuenta_10 <= true WHEN cuenta = 9 ELSE false;
fin_cuenta_20 <= true WHEN cuenta = 19 ELSE false;
end Behavioral;
1
2
3
4
5
V1, R2
cuenta =0
A1, R2
cuenta =0
R1, V2
cuenta= cuenta +1
R1, A2
cuenta = 0
V1, R2
cuenta = cuenta +1
sensor
Fin_cuenta_10
Fin_cuenta_30

Más contenido relacionado

La actualidad más candente

Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
rgomez12
 
Reporte de grafos con semagforos de 3,3,4 y 6 procesos
Reporte de grafos con semagforos de 3,3,4 y 6 procesosReporte de grafos con semagforos de 3,3,4 y 6 procesos
Reporte de grafos con semagforos de 3,3,4 y 6 procesos
Antares Zehcnas
 
CONTADORES
CONTADORES CONTADORES
Procesos
ProcesosProcesos
Sincronizacion de procesos
Sincronizacion de procesosSincronizacion de procesos
Sincronizacion de procesos
kruskaya salazar
 
Ejemplos 4to Examen Mci
Ejemplos 4to Examen MciEjemplos 4to Examen Mci
Ejemplos 4to Examen Mci
Luis Zurita
 
Micc temas examen
Micc temas examenMicc temas examen
Micc temas examen
Jair BG
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
juan130591
 
Curso Micro Tema 3 2
Curso Micro Tema 3 2Curso Micro Tema 3 2
Curso Micro Tema 3 2
Luis Zurita
 
UNIDAD IV
UNIDAD IVUNIDAD IV
UNIDAD IV
Luis Zurita
 
Unidad1 sd2
Unidad1 sd2Unidad1 sd2
Unidad1 sd2
haibsel
 
Micc final feb14_2009_soluc
Micc final feb14_2009_solucMicc final feb14_2009_soluc
Micc final feb14_2009_soluc
Jair BG
 
Semaforos
SemaforosSemaforos
Semaforos
David Lilue
 
Td apunte8
Td apunte8Td apunte8
Td apunte8
Cesar Gil Arrieta
 
Examen 4 intensivo micro
Examen 4 intensivo microExamen 4 intensivo micro
Examen 4 intensivo micro
Luis Zurita
 
Detector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLADetector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLA
Marc Tena Gil
 
Micc final sep02_2010 solucion
Micc final sep02_2010 solucionMicc final sep02_2010 solucion
Micc final sep02_2010 solucion
Jair BG
 
Curso Micro Tema 2
Curso Micro Tema 2Curso Micro Tema 2
Curso Micro Tema 2
Luis Zurita
 
Codigo distancia
Codigo distanciaCodigo distancia
Codigo distancia
Antonio Rodriguez
 
Guía rápida tmr0 e interrupciones
Guía rápida tmr0 e interrupcionesGuía rápida tmr0 e interrupciones
Guía rápida tmr0 e interrupciones
Luis Zurita
 

La actualidad más candente (20)

Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Reporte de grafos con semagforos de 3,3,4 y 6 procesos
Reporte de grafos con semagforos de 3,3,4 y 6 procesosReporte de grafos con semagforos de 3,3,4 y 6 procesos
Reporte de grafos con semagforos de 3,3,4 y 6 procesos
 
CONTADORES
CONTADORES CONTADORES
CONTADORES
 
Procesos
ProcesosProcesos
Procesos
 
Sincronizacion de procesos
Sincronizacion de procesosSincronizacion de procesos
Sincronizacion de procesos
 
Ejemplos 4to Examen Mci
Ejemplos 4to Examen MciEjemplos 4to Examen Mci
Ejemplos 4to Examen Mci
 
Micc temas examen
Micc temas examenMicc temas examen
Micc temas examen
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Curso Micro Tema 3 2
Curso Micro Tema 3 2Curso Micro Tema 3 2
Curso Micro Tema 3 2
 
UNIDAD IV
UNIDAD IVUNIDAD IV
UNIDAD IV
 
Unidad1 sd2
Unidad1 sd2Unidad1 sd2
Unidad1 sd2
 
Micc final feb14_2009_soluc
Micc final feb14_2009_solucMicc final feb14_2009_soluc
Micc final feb14_2009_soluc
 
Semaforos
SemaforosSemaforos
Semaforos
 
Td apunte8
Td apunte8Td apunte8
Td apunte8
 
Examen 4 intensivo micro
Examen 4 intensivo microExamen 4 intensivo micro
Examen 4 intensivo micro
 
Detector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLADetector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLA
 
Micc final sep02_2010 solucion
Micc final sep02_2010 solucionMicc final sep02_2010 solucion
Micc final sep02_2010 solucion
 
Curso Micro Tema 2
Curso Micro Tema 2Curso Micro Tema 2
Curso Micro Tema 2
 
Codigo distancia
Codigo distanciaCodigo distancia
Codigo distancia
 
Guía rápida tmr0 e interrupciones
Guía rápida tmr0 e interrupcionesGuía rápida tmr0 e interrupciones
Guía rápida tmr0 e interrupciones
 

Similar a Electrónica digital: Maquina de estado finito

Proyecto Mano Robotica
Proyecto Mano RoboticaProyecto Mano Robotica
Proyecto Mano Robotica
Byron Ganazhapa
 
Bitacora3
Bitacora3Bitacora3
Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado
SANTIAGO PABLO ALBERTO
 
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital  - circuitos secuencialesMaquinas de estado con VHDL - electronica digital  - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
JulioCesar858585
 
ARDUINO - LADDER - 2 CILINDROS CX3 RESET - IMPLEMENTACIÓN DE LADDER SOB...
ARDUINO - LADDER -  2  CILINDROS   CX3  RESET - IMPLEMENTACIÓN  DE LADDER SOB...ARDUINO - LADDER -  2  CILINDROS   CX3  RESET - IMPLEMENTACIÓN  DE LADDER SOB...
ARDUINO - LADDER - 2 CILINDROS CX3 RESET - IMPLEMENTACIÓN DE LADDER SOB...
Jovanny Duque
 
Pid
PidPid
Presentacion PFC Computacion Cuantica Jaime Coello.pdf
Presentacion PFC Computacion Cuantica Jaime Coello.pdfPresentacion PFC Computacion Cuantica Jaime Coello.pdf
Presentacion PFC Computacion Cuantica Jaime Coello.pdf
Juan Henriquez
 
Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)
SANTIAGO PABLO ALBERTO
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
Victor Asanza
 
ARDUINO - LADDER - EJEMPLO CX3 RESET TEMP EH EN 2 CIL BI MONO PARA AR...
ARDUINO - LADDER  -  EJEMPLO CX3 RESET TEMP  EH  EN   2  CIL BI MONO PARA  AR...ARDUINO - LADDER  -  EJEMPLO CX3 RESET TEMP  EH  EN   2  CIL BI MONO PARA  AR...
ARDUINO - LADDER - EJEMPLO CX3 RESET TEMP EH EN 2 CIL BI MONO PARA AR...
Jovanny Duque
 
ARDUINO- PROYECTO LADDER DISPOSITICO PARA EMBUTIR COMPLETO - LADDER.pdf
ARDUINO- PROYECTO   LADDER   DISPOSITICO  PARA  EMBUTIR  COMPLETO -  LADDER.pdfARDUINO- PROYECTO   LADDER   DISPOSITICO  PARA  EMBUTIR  COMPLETO -  LADDER.pdf
ARDUINO- PROYECTO LADDER DISPOSITICO PARA EMBUTIR COMPLETO - LADDER.pdf
Jovanny Duque
 
463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...
463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...
463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...
David Mora Cusicuna
 
Electrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDLElectrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDL
SANTIAGO PABLO ALBERTO
 
Ccp2009170309
Ccp2009170309Ccp2009170309
Ccp2009170309
Luis Zurita
 
Electrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDLElectrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDL
SANTIAGO PABLO ALBERTO
 
ARDUINO - LADDER PROYECTO CX3 RESET TEMP EH EN 2 CILINDROS BI MONO...
ARDUINO  - LADDER   PROYECTO  CX3 RESET  TEMP  EH  EN   2  CILINDROS  BI MONO...ARDUINO  - LADDER   PROYECTO  CX3 RESET  TEMP  EH  EN   2  CILINDROS  BI MONO...
ARDUINO - LADDER PROYECTO CX3 RESET TEMP EH EN 2 CILINDROS BI MONO...
Jovanny Duque
 
Programación Basada en Autómatas
Programación Basada en AutómatasProgramación Basada en Autómatas
Programación Basada en Autómatas
Jonathan Ruiz de Garibay
 
Automatismo de control para el acceso a un garaje
Automatismo de control para el acceso a un garajeAutomatismo de control para el acceso a un garaje
Automatismo de control para el acceso a un garaje
Jomicast
 
TIMERS&TEMPORIZADORES EN "C"
TIMERS&TEMPORIZADORES EN "C"TIMERS&TEMPORIZADORES EN "C"
TIMERS&TEMPORIZADORES EN "C"
Diego Rojas Ruiz
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)
Victor Asanza
 

Similar a Electrónica digital: Maquina de estado finito (20)

Proyecto Mano Robotica
Proyecto Mano RoboticaProyecto Mano Robotica
Proyecto Mano Robotica
 
Bitacora3
Bitacora3Bitacora3
Bitacora3
 
Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado
 
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital  - circuitos secuencialesMaquinas de estado con VHDL - electronica digital  - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
 
ARDUINO - LADDER - 2 CILINDROS CX3 RESET - IMPLEMENTACIÓN DE LADDER SOB...
ARDUINO - LADDER -  2  CILINDROS   CX3  RESET - IMPLEMENTACIÓN  DE LADDER SOB...ARDUINO - LADDER -  2  CILINDROS   CX3  RESET - IMPLEMENTACIÓN  DE LADDER SOB...
ARDUINO - LADDER - 2 CILINDROS CX3 RESET - IMPLEMENTACIÓN DE LADDER SOB...
 
Pid
PidPid
Pid
 
Presentacion PFC Computacion Cuantica Jaime Coello.pdf
Presentacion PFC Computacion Cuantica Jaime Coello.pdfPresentacion PFC Computacion Cuantica Jaime Coello.pdf
Presentacion PFC Computacion Cuantica Jaime Coello.pdf
 
Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE & COORDINATOR (2020 PAO 1)
 
ARDUINO - LADDER - EJEMPLO CX3 RESET TEMP EH EN 2 CIL BI MONO PARA AR...
ARDUINO - LADDER  -  EJEMPLO CX3 RESET TEMP  EH  EN   2  CIL BI MONO PARA  AR...ARDUINO - LADDER  -  EJEMPLO CX3 RESET TEMP  EH  EN   2  CIL BI MONO PARA  AR...
ARDUINO - LADDER - EJEMPLO CX3 RESET TEMP EH EN 2 CIL BI MONO PARA AR...
 
ARDUINO- PROYECTO LADDER DISPOSITICO PARA EMBUTIR COMPLETO - LADDER.pdf
ARDUINO- PROYECTO   LADDER   DISPOSITICO  PARA  EMBUTIR  COMPLETO -  LADDER.pdfARDUINO- PROYECTO   LADDER   DISPOSITICO  PARA  EMBUTIR  COMPLETO -  LADDER.pdf
ARDUINO- PROYECTO LADDER DISPOSITICO PARA EMBUTIR COMPLETO - LADDER.pdf
 
463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...
463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...
463941896-1-4-Diseno-de-compensador-adelanto-atraso-y-controlador-PID-pptx (1...
 
Electrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDLElectrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDL
 
Ccp2009170309
Ccp2009170309Ccp2009170309
Ccp2009170309
 
Electrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDLElectrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDL
 
ARDUINO - LADDER PROYECTO CX3 RESET TEMP EH EN 2 CILINDROS BI MONO...
ARDUINO  - LADDER   PROYECTO  CX3 RESET  TEMP  EH  EN   2  CILINDROS  BI MONO...ARDUINO  - LADDER   PROYECTO  CX3 RESET  TEMP  EH  EN   2  CILINDROS  BI MONO...
ARDUINO - LADDER PROYECTO CX3 RESET TEMP EH EN 2 CILINDROS BI MONO...
 
Programación Basada en Autómatas
Programación Basada en AutómatasProgramación Basada en Autómatas
Programación Basada en Autómatas
 
Automatismo de control para el acceso a un garaje
Automatismo de control para el acceso a un garajeAutomatismo de control para el acceso a un garaje
Automatismo de control para el acceso a un garaje
 
TIMERS&TEMPORIZADORES EN "C"
TIMERS&TEMPORIZADORES EN "C"TIMERS&TEMPORIZADORES EN "C"
TIMERS&TEMPORIZADORES EN "C"
 
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)
⭐⭐⭐⭐⭐ SISTEMAS EMBEBIDOS, END DEVICE + Free RTOS (2020 PAO 1)
 

Más de SANTIAGO PABLO ALBERTO

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
SANTIAGO PABLO ALBERTO
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
SANTIAGO PABLO ALBERTO
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
SANTIAGO PABLO ALBERTO
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
SANTIAGO PABLO ALBERTO
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
SANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
SANTIAGO PABLO ALBERTO
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
SANTIAGO PABLO ALBERTO
 
Fisica I
Fisica IFisica I
Quimica.pdf
Quimica.pdfQuimica.pdf
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
SANTIAGO PABLO ALBERTO
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
SANTIAGO PABLO ALBERTO
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
SANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
SANTIAGO PABLO ALBERTO
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
SANTIAGO PABLO ALBERTO
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
SANTIAGO PABLO ALBERTO
 

Más de SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Último

Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024
FantasticVideo1
 
DIAGRAMA ELECTRICOS y circuito electrónicos
DIAGRAMA ELECTRICOS y circuito electrónicosDIAGRAMA ELECTRICOS y circuito electrónicos
DIAGRAMA ELECTRICOS y circuito electrónicos
LuisAngelGuarnizoBet
 
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdfEstilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
ElisaLen4
 
INVENTARIO CEROO Y DINAMICAA FABRIL.pptx
INVENTARIO CEROO Y DINAMICAA FABRIL.pptxINVENTARIO CEROO Y DINAMICAA FABRIL.pptx
INVENTARIO CEROO Y DINAMICAA FABRIL.pptx
FernandoRodrigoEscal
 
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdfEXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
hugodennis88
 
Infografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - ConstrucciónInfografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - Construcción
MaraManuelaUrribarri
 
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptxGRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
JhonathanBaptista2
 
diagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingenieríadiagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingeniería
karenperalta62
 
SESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtual
SESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtualSESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtual
SESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtual
JuanGavidia2
 
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomomRinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
DanielaLoaeza5
 
DIAGRAMA DE FLUJO DE ALGORITMO .......
DIAGRAMA DE FLUJO  DE  ALGORITMO .......DIAGRAMA DE FLUJO  DE  ALGORITMO .......
DIAGRAMA DE FLUJO DE ALGORITMO .......
taniarivera1015tvr
 
Informe Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de TacnaInforme Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de Tacna
BrusCiriloPintoApaza
 
Aletas (Superficies extendidas) y aislantes térmicos
Aletas (Superficies extendidas) y aislantes térmicosAletas (Superficies extendidas) y aislantes térmicos
Aletas (Superficies extendidas) y aislantes térmicos
FrancelisFernandez
 
Propiedades Electricas de los Materiales
Propiedades Electricas de los MaterialesPropiedades Electricas de los Materiales
Propiedades Electricas de los Materiales
rogeliorodriguezt
 
Clase Isometricos parA circuitos de ingenieria
Clase Isometricos parA circuitos de ingenieriaClase Isometricos parA circuitos de ingenieria
Clase Isometricos parA circuitos de ingenieria
leonidasbajalquepuch
 
Presentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptxPresentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptx
ronnyrocha223
 
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Eliana Gomajoa
 
SISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOS
SISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOSSISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOS
SISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOS
micoltadaniel2024
 
PRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICA
PRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICAPRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICA
PRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICA
carmenquintana18
 
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
luliolivera62
 

Último (20)

Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024
 
DIAGRAMA ELECTRICOS y circuito electrónicos
DIAGRAMA ELECTRICOS y circuito electrónicosDIAGRAMA ELECTRICOS y circuito electrónicos
DIAGRAMA ELECTRICOS y circuito electrónicos
 
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdfEstilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
 
INVENTARIO CEROO Y DINAMICAA FABRIL.pptx
INVENTARIO CEROO Y DINAMICAA FABRIL.pptxINVENTARIO CEROO Y DINAMICAA FABRIL.pptx
INVENTARIO CEROO Y DINAMICAA FABRIL.pptx
 
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdfEXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
 
Infografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - ConstrucciónInfografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - Construcción
 
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptxGRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
 
diagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingenieríadiagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingeniería
 
SESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtual
SESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtualSESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtual
SESIÓN 3 ÓXIDOS-HIDRÓXIDOS trabajo virtual
 
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomomRinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
 
DIAGRAMA DE FLUJO DE ALGORITMO .......
DIAGRAMA DE FLUJO  DE  ALGORITMO .......DIAGRAMA DE FLUJO  DE  ALGORITMO .......
DIAGRAMA DE FLUJO DE ALGORITMO .......
 
Informe Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de TacnaInforme Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de Tacna
 
Aletas (Superficies extendidas) y aislantes térmicos
Aletas (Superficies extendidas) y aislantes térmicosAletas (Superficies extendidas) y aislantes térmicos
Aletas (Superficies extendidas) y aislantes térmicos
 
Propiedades Electricas de los Materiales
Propiedades Electricas de los MaterialesPropiedades Electricas de los Materiales
Propiedades Electricas de los Materiales
 
Clase Isometricos parA circuitos de ingenieria
Clase Isometricos parA circuitos de ingenieriaClase Isometricos parA circuitos de ingenieria
Clase Isometricos parA circuitos de ingenieria
 
Presentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptxPresentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptx
 
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
 
SISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOS
SISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOSSISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOS
SISTEMA AUTOMATIZADO DE LIMPIEZA PARA ACUARIOS
 
PRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICA
PRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICAPRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICA
PRIMERA Y SEGUNDA LEY DE LA TERMODINÁMICA
 
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
 

Electrónica digital: Maquina de estado finito

  • 2. Modelo de Mealy E Qt Qt+1 S Lógica del Lógica del próximo próximo estado estado Lógica Lógica de salida de salida M E M O R I A Qt+1 = f (E, Qt) S = g (E, Qt) Ck
  • 3. Modelo de Moore E Qt Qt+1 S Lógica del Lógica del próximo próximo estado estado Lógica Lógica de salida de salida M E M O R I A Qt+1 = f (E, Qt) S = g (E, Qt) Ck
  • 5. En el ambiente ISE tenemos distintas alternativas para describir una FSM: • Con el código VHDL • Con el editor de máquina de estado • Con captura de esquemas
  • 6. Implementación con Xilinx Synthesis Technology (XST) Esta herramienta reconoce (y entonces optimiza) tres tipos (formatos) de descripciones (sincrónicas): • Descripción en un sólo proceso • Descripción en dos procesos • Descripción en tres procesos
  • 7.
  • 8. entity ….. end entity Architecture … - Definición de señales… Definición de una señal tipo enumerada para representar el “estado” (ejemplo: est1, est2, etc) begin porcess (clk, reset) begin -Llevar al sistema a condiciones iniciales con “reset” (estados y salidas) - Con el flanco activo del reloj hacer (clk’event and clk=’1’): Case estado is when est1 - - - - - - próximos estados - - - - - - - - - salidas - - - - - - when est2 - - - - - - - - - end process end architecture
  • 10.
  • 11.
  • 12. Puede ser reemplazado por sentencias de asignación concurrentes
  • 14.
  • 15. entity ….. end entity Architecture … - Definición de señales… Definición de dos señales tipo enumerada para representar el “estado” y el “proximo_estado” (ejemplo: est1, est2, etc) begin Proceso_1: porcess (clk, reset) begin -Llevar al sistema a condiciones iniciales con “reset” (estados) - Con el flanco activo del reloj hacer (clk’event and clk=’1’): estado <= proximo_estado end process Proceso_1 Proceso_2: process (estado, entrada1, entrada2, …) Case estado is when est1 => - - - - - - próximos estados (ej.: proximo_estado <= est1) - - - when est2 => - - - - - - próximos estados - - - end process: Proceso_2 Proceso_3: porcess (estado) Case estado is when est1 => (salidas) when est2 => (salidas) - - - - - - end process Proceso_3 end architecture
  • 16.
  • 17. Detectar la secuencia 0-0-1 (por Moore) 1 1 0 0 0 1 0 1 2 3 4 1 1 1 0 0 0 1 0 1 2 3 4 1 O O O 1
  • 18. Con VHDL Declaración de la entidad -- !!!! MAQUINA DE MOORE !!!! library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Maq_sinc is Port ( reset : in std_logic; e : in std_logic; ck : in std_logic; s : out std_logic); end Maq_sinc;
  • 19. Con VHDL (contin.) Declaración de la arquitectura architecture Behavioral of Maq_sinc is type estados is (est1, est2, est3, est4); signal est_actual: estados:= est1; begin process (reset, ck) begin if reset = '1' then est_actual<= est1 ; elsif ck='1' and ck'event then case est_actual is when est1 => if e='1' then est_actual <= est1; else est_actual <= est2; end if; when est2 => if e='1' then est_actual <= est1; else est_actual <= est3; end if; when est3 => if e='1' then est_actual <= est4; else est_actual <= est3; end if; when est4 => if e='1' then est_actual <= est1; else est_actual <= est2; end if; end case; end if; end process; process (est_actual) begin case est_actual is when est1 => s<='0';when est2 => s<='0';when est3 => s<='0';when est4 => s<='1'; end case; end process; end Behavioral;
  • 20. Resultado de la simulación
  • 21. SEMÁFORO • En la intersección de una carretera y un camino vecinal se instala un semáforo con el siguiente comportamiento: – En el estado inicial indica verde para la carretera y rojo en el camino – Existen sensores (sensor) que detectan la presencia de vehículos en el camino, cuando esto ocurre se habilita el tránsito por el camino durante 10 segundos. – Transcurrido los 10 segundos, se pone verde la carretera y durante 20 segundos no se atiende la señal del sensor. – Se dispone de una señal de reloj de frecuencia de 1Hz. – La evolución de los semáforos es la estándar (verde, amarillo, rojo)
  • 22. Caja negra para modelar según una Red de Caja negra para modelar según una Red de Petri Petri
  • 23. Red de Red de Petri Petri
  • 25. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FSM is Port ( sensor : in std_logic; reset : in std_logic; clk : in std_logic; sem_carre : out std_logic_vector(2 downto 0); sem_camino : out std_logic_vector(2 downto 0)); end FSM; architecture Behavioral of FSM is type estado is (inicial, carre_amari, cami_verde, cami_amari,espera); constant verde :std_logic_vector (2 downto 0):= "001"; constant amarillo :std_logic_vector (2 downto 0):= "010"; constant rojo :std_logic_vector (2 downto 0):= "100"; signal estado_actual : estado:=inicial; signal reset_cuenta : boolean:= false; signal fin_cuenta_10, fin_cuenta_20 : boolean; signal cuenta : integer range 0 to 63; BEGIN
  • 26. -- Definimos la máquina de estados MAQUINA: process (clk, reset) begin if reset ='1' then estado_actual <= inicial; elsif clk='1' and clk'event then CASE estado_actual IS WHEN inicial => --(1) if sensor ='1' then estado_actual <=carre_amari; --(2) end if; WHEN carre_amari => --(2) estado_actual <= cami_verde; --(3) WHEN cami_verde => --(3) if fin_cuenta_10 then estado_actual <= cami_amari; --(4) end if; WHEN cami_amari => --(4) estado_actual <= espera; --(5) WHEN espera => --(5) if fin_cuenta_20 then estado_actual <= inicial; --(1) end if; END CASE ; end if; end process MAQUINA; 1 2 3 4 5 V1, R2 cuenta =0 A1, R2 cuenta =0 R1, V2 cuenta= cuenta +1 R1, A2 cuenta = 0 V1, R2 cuenta = cuenta +1 sensor Fin_cuenta_10 Fin_cuenta_30
  • 27. SALIDA: process (estado_actual) begin CASE estado_actual is WHEN inicial => --(1) sem_carre <= verde; sem_camino <= rojo; reset_cuenta <= true; WHEN carre_amari => --(2) sem_carre <= amarillo; sem_camino <= rojo; reset_cuenta <= true; WHEN cami_verde => --(3) sem_carre <= rojo; sem_camino <=verde; reset_cuenta <= false; WHEN cami_amari => -- (4) sem_carre <= rojo; sem_camino <= amarillo; reset_cuenta <= true; WHEN espera => --(5) sem_carre <= verde; sem_camino <= rojo; reset_cuenta <= false; END CASE; end process salida; 1 2 3 4 5 V1, R2 cuenta =0 A1, R2 cuenta =0 R1, V2 cuenta= cuenta +1 R1, A2 cuenta = 0 V1, R2 cuenta = cuenta +1 sensor Fin_cuenta_10 Fin_cuenta_30
  • 28. -- Definición del contador CONTADOR: process (clk) begin if clk='1' and clk'event then if reset_cuenta then cuenta <= 0; else cuenta <= cuenta + 1; end if; end if; end process contador; -- Detección de las finalizaciones de tiempos 10s y 20s fin_cuenta_10 <= true WHEN cuenta = 9 ELSE false; fin_cuenta_20 <= true WHEN cuenta = 19 ELSE false; end Behavioral;
  • 29. 1 2 3 4 5 V1, R2 cuenta =0 A1, R2 cuenta =0 R1, V2 cuenta= cuenta +1 R1, A2 cuenta = 0 V1, R2 cuenta = cuenta +1 sensor Fin_cuenta_10 Fin_cuenta_30