SlideShare una empresa de Scribd logo
Ing. Diego Barragán Guerrero
http://www.decom.fee.unicamp.br/~diego/
Máquinas de estado con VHDL
Introducción
 La sección
combinacional, tiene dos
entradas: pr_state
(estado presente) y la
señal de entrada externa
(input).Además posee
dos salidas: nx_state
(estado siguiente) y
salida externa.
 La sección secuencial
tiene tres entradas: clock,
reset y nx_state y una
salida: pr_state.
 Si la salida de la máquina
depende no solo del
estado presente sino
también de la entrada
actual, se denomina
máquina de estado de
 Diseño de la sección inferior (secuencial)
 Los FF están en la sección inferior, de tal forma que el reloj
y reset se conectan a ella.
 Siendo la sección inferior secuencial, es necesario un
Proceso, en el cual se puede usar cualquier sentencia
secuencial.
 Una plantilla típica de diseño de la sección inferior se
muestra a continuación.
Estilo de diseño 1
Reset
asíncrono
Registro
síncrono
 Diseño de la sección
superior (Combinacional)
 El código contiene dos cosas:
 a) Asignación a la salida;
 b) Establecimiento de estado
siguiente.
 Observar que no hay
asignación a señal hecha en
la transición de otra señal, de
modo que no se infieren FF.
Estilo de diseño 1
Estilo de diseño 1: Plantilla
Tipo enumerado:
contiene todos los
estados.
Sección
secuencial.
Sección
Combinacion
al.
 Un contador es un ejemplo de una máquina de Moore, ya
que la salida depende solo del estado presente.
Ejemplo 1: contador BCD.
Ejemplo 2: FSM simple.
Ejemplo 2: FSM simple.
D = 1
x = a D = 0
 En el primer estilo de diseño, solo el estado presente
(estado_pr) es almacenado.
 En este caso, si se usa una máquina de Mealy (la salida
depende de la entrada actual), la salida cambia cuando la
entrada cambia (salida asíncrona).
 En muchas aplicaciones, las señales deben ser síncronas, de
modo que la salida debe actualizarse solo cuando existe un
pulso de reloj.
 Para hacer una máquina de Mealy síncrona, la salida deber ser
almacenada también.
Estilo de diseño 2
El estilo de diseño 2, usa una señal adicional para contener el valor de la
salida (en la sección superior), pero solo pasa este valor a la salida
cuando un evento de reloj ocurre (sección inferior).
Estilo de diseño 2: Plantilla
La asignación de
la salida solo se
ejecuta al pulso
de reloj.
El estilo de diseño 2, usa una señal
adicional para contener el valor de la
salida (en la sección superior), pero
solo pasa este valor a la salida
cuando un evento de reloj ocurre
(sección inferior).
Estilo de diseño 2: FSM simple.
 Cuando una señal es almacenada, su valor permanece
estático entre dos flancos de reloj. Por lo tanto, si la
entrada (a o b) cambia durante este intervalo, el
cambio no se observará por el circuito.
Estilo de diseño 2: FSM simple.
 El circuito tiene como entrada una cadena serial de bits
y su salida será 1 cuando la secuencia "111" ocurra. En
caso de solapamiento, esto es, una secuencia 0111110
suceda, la salida debe mantenerse activa por tres ciclos
consecutivos de reloj.
Ejemplo: Detector de secuencia.
Ejemplo: Detector de secuencia.
Solapamien
to, la salida
sigue
siendo 1.
Ejemplo: Detector de secuencia (TB).
Notar que la salida no depende de la entrada actual. Todas
las asignaciones a q son incondicionales (esto es, no
dependen de d). Por lo tanto, la salida es automáticamente
sincronizada.
In: …111…
Out:
…001…
Ejemplo: controlador de semáforo.
Se asume que la frecuencia
de reloj es 60Hz (tomada de
la misma fuente de poder).
Ejemplo: controlador de semáforo.
 Para visualizar la salida de forma óptima, se ha
modificado el valor de todas las contantes a 3, excepto
el valor de timeTest, que vale 1. Se espera que el
sistema cambie de estado cada tres ciclos de reloj
cuando está en modo de operación regular, o cada ciclo
de reloj si está en mode Test.
Ejemplo: controlador de semáforo.
 Se diseñará dos
máquinas de estado,
una que operará
exclusivamente en la
transición positiva del
reloj y otra que operará
exclusivamente en el
flanco negativo. Esto
generará la señal
alternativa out 1 y out2 .
Estas señales serán
tratadas con la
operación and para
obtener la señal
deseada.
 Notar que el circuito no
posee entradas
externas (excepto la
señal de reloj), de modo
Ejemplo: generador de funciones.
Ejemplo: generador de funciones.
0 1 1
1 0 1
Referencias:
 Volnei A. Pedroni. 2004. Circuit Design with
VHDL. MIT Press, Cambridge, MA, USA.
 Maxinez, D.G., Alcalá, J. 2003. VHDL: el arte de
programar sistemas digitales. Compañía Editorial
Continental.

Más contenido relacionado

La actualidad más candente

Electronica analisis a pequeña señal fet
Electronica  analisis a pequeña señal fetElectronica  analisis a pequeña señal fet
Electronica analisis a pequeña señal fet
Velmuz Buzz
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Miguel Brunings
 
Registro status PIC16F84A
Registro status PIC16F84ARegistro status PIC16F84A
Registro status PIC16F84A
Williams Ernesto Limonchi Sandoval
 
Modulación delta
Modulación deltaModulación delta
Modulación delta
Avallo-Avalon Pichardo Rizo
 
Parametros de AM
Parametros de AMParametros de AM
Parametros de AM
Alberto Jimenez
 
Recta de carga
Recta de cargaRecta de carga
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
Cristian Rodriguez
 
Los Flip Flops
Los Flip FlopsLos Flip Flops
Los Flip Flops
Fabian Reyes
 
Ejercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_daEjercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_da
Cesar Gil Arrieta
 
Teclado matricial
Teclado matricialTeclado matricial
Teclado matricial
Rodrigo Cruz
 
Amplificadores Multietapa
Amplificadores MultietapaAmplificadores Multietapa
Amplificadores Multietapa
Jonathan Ruiz de Garibay
 
Amplificadores multietapa
Amplificadores multietapaAmplificadores multietapa
Amplificadores multietapa
KarenAche
 
Cinco tipos de transistores comunes
Cinco tipos de transistores comunesCinco tipos de transistores comunes
Cinco tipos de transistores comunes
Luis Palacios
 
El transistor jfet
El transistor jfetEl transistor jfet
El transistor jfet
Universidad de Antofagasta
 
Programación PIC16F84A
Programación PIC16F84AProgramación PIC16F84A
Programación PIC16F84A
Percy Julio Chambi Pacco
 
Funcion De Transferencia
Funcion De TransferenciaFuncion De Transferencia
Funcion De Transferencia
Grupo05N
 
1.3.1 polarizacion del jfet
1.3.1 polarizacion del jfet1.3.1 polarizacion del jfet
1.3.1 polarizacion del jfet
josefer28051989
 
Ensayo de DIAGRAMA DE BLOQUES
Ensayo de DIAGRAMA DE BLOQUESEnsayo de DIAGRAMA DE BLOQUES
Ensayo de DIAGRAMA DE BLOQUES
Kristian Colmenares
 
ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL
ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL
ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL
Ing. Jesus A. López K.
 
Configuración de polarización fija y la auto polarización en el JFET
Configuración de polarización fija y la auto polarización en el JFETConfiguración de polarización fija y la auto polarización en el JFET
Configuración de polarización fija y la auto polarización en el JFET
Vidalia Montserrat Colunga Ramirez
 

La actualidad más candente (20)

Electronica analisis a pequeña señal fet
Electronica  analisis a pequeña señal fetElectronica  analisis a pequeña señal fet
Electronica analisis a pequeña señal fet
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
 
Registro status PIC16F84A
Registro status PIC16F84ARegistro status PIC16F84A
Registro status PIC16F84A
 
Modulación delta
Modulación deltaModulación delta
Modulación delta
 
Parametros de AM
Parametros de AMParametros de AM
Parametros de AM
 
Recta de carga
Recta de cargaRecta de carga
Recta de carga
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
 
Los Flip Flops
Los Flip FlopsLos Flip Flops
Los Flip Flops
 
Ejercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_daEjercicios resueltos y_propuestos_adc_da
Ejercicios resueltos y_propuestos_adc_da
 
Teclado matricial
Teclado matricialTeclado matricial
Teclado matricial
 
Amplificadores Multietapa
Amplificadores MultietapaAmplificadores Multietapa
Amplificadores Multietapa
 
Amplificadores multietapa
Amplificadores multietapaAmplificadores multietapa
Amplificadores multietapa
 
Cinco tipos de transistores comunes
Cinco tipos de transistores comunesCinco tipos de transistores comunes
Cinco tipos de transistores comunes
 
El transistor jfet
El transistor jfetEl transistor jfet
El transistor jfet
 
Programación PIC16F84A
Programación PIC16F84AProgramación PIC16F84A
Programación PIC16F84A
 
Funcion De Transferencia
Funcion De TransferenciaFuncion De Transferencia
Funcion De Transferencia
 
1.3.1 polarizacion del jfet
1.3.1 polarizacion del jfet1.3.1 polarizacion del jfet
1.3.1 polarizacion del jfet
 
Ensayo de DIAGRAMA DE BLOQUES
Ensayo de DIAGRAMA DE BLOQUESEnsayo de DIAGRAMA DE BLOQUES
Ensayo de DIAGRAMA DE BLOQUES
 
ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL
ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL
ANÁLISIS DE TRANSISTORES BJT EN PEQUEÑA SEÑAL
 
Configuración de polarización fija y la auto polarización en el JFET
Configuración de polarización fija y la auto polarización en el JFETConfiguración de polarización fija y la auto polarización en el JFET
Configuración de polarización fija y la auto polarización en el JFET
 

Similar a Electrónica digital: maquinas de estado con VHDL

Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital  - circuitos secuencialesMaquinas de estado con VHDL - electronica digital  - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
JulioCesar858585
 
Electrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDLElectrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDL
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Tema 5 Circuitos secuenciales
Electrónica digital: Tema 5 Circuitos secuenciales Electrónica digital: Tema 5 Circuitos secuenciales
Electrónica digital: Tema 5 Circuitos secuenciales
SANTIAGO PABLO ALBERTO
 
006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales
SANTIAGO PABLO ALBERTO
 
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
SANTIAGO PABLO ALBERTO
 
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
SANTIAGO PABLO ALBERTO
 
Contador asíncrono binario
Contador asíncrono binarioContador asíncrono binario
Contador asíncrono binario
Israel Chandy
 
004 Electroneumática y Neumática: Método de cascada
004 Electroneumática y Neumática: Método de cascada 004 Electroneumática y Neumática: Método de cascada
004 Electroneumática y Neumática: Método de cascada
SANTIAGO PABLO ALBERTO
 
Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18
Jorge Microelectrónica
 
Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLElectrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDL
SANTIAGO PABLO ALBERTO
 
SistemasControl.ppt
SistemasControl.pptSistemasControl.ppt
SistemasControl.ppt
CarlosGarca745895
 
Ctrl discreto de un motor de cc en velocidad
Ctrl discreto de un motor de cc en velocidadCtrl discreto de un motor de cc en velocidad
Ctrl discreto de un motor de cc en velocidad
Miguel sosa
 
Sistemas control
Sistemas controlSistemas control
Sistemas control
German Daniel Vargas Perez
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
Rodolfo Alcantara Rosales
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
diegoavalos_tec
 
Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros
SANTIAGO PABLO ALBERTO
 
CURSO DE CAPACITACION CARBÓN II_01.pdf
CURSO DE CAPACITACION CARBÓN II_01.pdfCURSO DE CAPACITACION CARBÓN II_01.pdf
CURSO DE CAPACITACION CARBÓN II_01.pdf
FernandoPiaggio3
 
PLC: Sistemas lógicos y secuenciales
PLC: Sistemas lógicos y secuenciales PLC: Sistemas lógicos y secuenciales
PLC: Sistemas lógicos y secuenciales
SANTIAGO PABLO ALBERTO
 

Similar a Electrónica digital: maquinas de estado con VHDL (20)

Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital  - circuitos secuencialesMaquinas de estado con VHDL - electronica digital  - circuitos secuenciales
Maquinas de estado con VHDL - electronica digital - circuitos secuenciales
 
Electrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDLElectrónica digital: maquinas de estado con VHDL
Electrónica digital: maquinas de estado con VHDL
 
Electrónica digital: Tema 5 Circuitos secuenciales
Electrónica digital: Tema 5 Circuitos secuenciales Electrónica digital: Tema 5 Circuitos secuenciales
Electrónica digital: Tema 5 Circuitos secuenciales
 
006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador006 Electroneumática y Neumática: Método secuenciador
006 Electroneumática y Neumática: Método secuenciador
 
Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales
 
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
009 Electroneumática y Neumática: electroneumática con circuitos secuenciales...
 
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
Electroneumática y Neumática: 005 Diseño de circuitos neumáticos: método paso...
 
Contador asíncrono binario
Contador asíncrono binarioContador asíncrono binario
Contador asíncrono binario
 
004 Electroneumática y Neumática: Método de cascada
004 Electroneumática y Neumática: Método de cascada 004 Electroneumática y Neumática: Método de cascada
004 Electroneumática y Neumática: Método de cascada
 
Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18Presentación de la Fase 4 de Microelectrónica - Grupo 18
Presentación de la Fase 4 de Microelectrónica - Grupo 18
 
Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales
 
Electrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDLElectrónica digital: Maquinas de estado finito en VHDL
Electrónica digital: Maquinas de estado finito en VHDL
 
SistemasControl.ppt
SistemasControl.pptSistemasControl.ppt
SistemasControl.ppt
 
Ctrl discreto de un motor de cc en velocidad
Ctrl discreto de un motor de cc en velocidadCtrl discreto de un motor de cc en velocidad
Ctrl discreto de un motor de cc en velocidad
 
Sistemas control
Sistemas controlSistemas control
Sistemas control
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros Electrónica digital: Tema 7 Contadores y registros
Electrónica digital: Tema 7 Contadores y registros
 
CURSO DE CAPACITACION CARBÓN II_01.pdf
CURSO DE CAPACITACION CARBÓN II_01.pdfCURSO DE CAPACITACION CARBÓN II_01.pdf
CURSO DE CAPACITACION CARBÓN II_01.pdf
 
PLC: Sistemas lógicos y secuenciales
PLC: Sistemas lógicos y secuenciales PLC: Sistemas lógicos y secuenciales
PLC: Sistemas lógicos y secuenciales
 

Más de SANTIAGO PABLO ALBERTO

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
SANTIAGO PABLO ALBERTO
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
SANTIAGO PABLO ALBERTO
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
SANTIAGO PABLO ALBERTO
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
SANTIAGO PABLO ALBERTO
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
SANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
SANTIAGO PABLO ALBERTO
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
SANTIAGO PABLO ALBERTO
 
Fisica I
Fisica IFisica I
Quimica.pdf
Quimica.pdfQuimica.pdf
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
SANTIAGO PABLO ALBERTO
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
SANTIAGO PABLO ALBERTO
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
SANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
SANTIAGO PABLO ALBERTO
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
SANTIAGO PABLO ALBERTO
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
SANTIAGO PABLO ALBERTO
 

Más de SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Último

DISEÑO DE PLANTA TIPO CELULAR - Diseño de Plantas
DISEÑO DE PLANTA TIPO CELULAR - Diseño de PlantasDISEÑO DE PLANTA TIPO CELULAR - Diseño de Plantas
DISEÑO DE PLANTA TIPO CELULAR - Diseño de Plantas
HalmarMiranda
 
Presentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptxPresentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptx
ronnyrocha223
 
Infografía de operaciones básicas....pdf
Infografía de operaciones básicas....pdfInfografía de operaciones básicas....pdf
Infografía de operaciones básicas....pdf
jahirrtorresa
 
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomomRinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
DanielaLoaeza5
 
Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024
FantasticVideo1
 
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptxGRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
JhonathanBaptista2
 
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
luliolivera62
 
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdfEXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
hugodennis88
 
Características de los suelos como los histosoles.pptx
Características de los suelos como los histosoles.pptxCaracterísticas de los suelos como los histosoles.pptx
Características de los suelos como los histosoles.pptx
MONICADELROCIOMUNZON1
 
Propiedades Electricas de los Materiales
Propiedades Electricas de los MaterialesPropiedades Electricas de los Materiales
Propiedades Electricas de los Materiales
rogeliorodriguezt
 
Infografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - ConstrucciónInfografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - Construcción
MaraManuelaUrribarri
 
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdfEstilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
ElisaLen4
 
Aletas de Transferencia de Calor Jefferson Colina.pptx
Aletas de Transferencia de Calor Jefferson Colina.pptxAletas de Transferencia de Calor Jefferson Colina.pptx
Aletas de Transferencia de Calor Jefferson Colina.pptx
jeffersoncolina427
 
diagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingenieríadiagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingeniería
karenperalta62
 
Operaciones Básicas creadora Veronica Maiz
Operaciones Básicas creadora Veronica MaizOperaciones Básicas creadora Veronica Maiz
Operaciones Básicas creadora Veronica Maiz
carolina838317
 
Sistema de sobrealimentacion de un motor
Sistema de sobrealimentacion de un motorSistema de sobrealimentacion de un motor
Sistema de sobrealimentacion de un motor
mauriciok961
 
Informe Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de TacnaInforme Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de Tacna
BrusCiriloPintoApaza
 
1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf
1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf
1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf
jlupo2024
 
Presentación transferencia de calor Jesus Morales.pdf
Presentación transferencia de calor Jesus Morales.pdfPresentación transferencia de calor Jesus Morales.pdf
Presentación transferencia de calor Jesus Morales.pdf
jdcumarem02
 
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Eliana Gomajoa
 

Último (20)

DISEÑO DE PLANTA TIPO CELULAR - Diseño de Plantas
DISEÑO DE PLANTA TIPO CELULAR - Diseño de PlantasDISEÑO DE PLANTA TIPO CELULAR - Diseño de Plantas
DISEÑO DE PLANTA TIPO CELULAR - Diseño de Plantas
 
Presentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptxPresentación- de motor a combustión -diesel.pptx
Presentación- de motor a combustión -diesel.pptx
 
Infografía de operaciones básicas....pdf
Infografía de operaciones básicas....pdfInfografía de operaciones básicas....pdf
Infografía de operaciones básicas....pdf
 
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomomRinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
Rinitis alérgica-1.pdfuhycrbibxgvyvyjimomom
 
Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024Sesión 03 universidad cesar vallejo 2024
Sesión 03 universidad cesar vallejo 2024
 
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptxGRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
GRAFICA POR ATRIBUTOS EN CONTROL DE LA CALIDAD.pptx
 
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
1°AIRE ACONDICIONADO-EQUIPOS & SISTEMAS.pdf
 
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdfEXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
EXPOSICIÓN NTP IEC 60364-1 - Orlando Chávez Chacaltana.pdf
 
Características de los suelos como los histosoles.pptx
Características de los suelos como los histosoles.pptxCaracterísticas de los suelos como los histosoles.pptx
Características de los suelos como los histosoles.pptx
 
Propiedades Electricas de los Materiales
Propiedades Electricas de los MaterialesPropiedades Electricas de los Materiales
Propiedades Electricas de los Materiales
 
Infografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - ConstrucciónInfografia - Hugo Hidalgo - Construcción
Infografia - Hugo Hidalgo - Construcción
 
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdfEstilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
Estilo Arquitectónico Ecléctico e Histórico, Roberto de la Roche.pdf
 
Aletas de Transferencia de Calor Jefferson Colina.pptx
Aletas de Transferencia de Calor Jefferson Colina.pptxAletas de Transferencia de Calor Jefferson Colina.pptx
Aletas de Transferencia de Calor Jefferson Colina.pptx
 
diagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingenieríadiagrama de flujo. en el área de ingeniería
diagrama de flujo. en el área de ingeniería
 
Operaciones Básicas creadora Veronica Maiz
Operaciones Básicas creadora Veronica MaizOperaciones Básicas creadora Veronica Maiz
Operaciones Básicas creadora Veronica Maiz
 
Sistema de sobrealimentacion de un motor
Sistema de sobrealimentacion de un motorSistema de sobrealimentacion de un motor
Sistema de sobrealimentacion de un motor
 
Informe Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de TacnaInforme Municipal provincial de la ciudad de Tacna
Informe Municipal provincial de la ciudad de Tacna
 
1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf
1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf
1-AAP-RENAV-PyM Capacitación del Reglamento Nacional de Vehiculos.pdf
 
Presentación transferencia de calor Jesus Morales.pdf
Presentación transferencia de calor Jesus Morales.pdfPresentación transferencia de calor Jesus Morales.pdf
Presentación transferencia de calor Jesus Morales.pdf
 
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
Enjoy Pasto Bot - "Tu guía virtual para disfrutar del Carnaval de Negros y Bl...
 

Electrónica digital: maquinas de estado con VHDL

  • 1. Ing. Diego Barragán Guerrero http://www.decom.fee.unicamp.br/~diego/ Máquinas de estado con VHDL
  • 2. Introducción  La sección combinacional, tiene dos entradas: pr_state (estado presente) y la señal de entrada externa (input).Además posee dos salidas: nx_state (estado siguiente) y salida externa.  La sección secuencial tiene tres entradas: clock, reset y nx_state y una salida: pr_state.  Si la salida de la máquina depende no solo del estado presente sino también de la entrada actual, se denomina máquina de estado de
  • 3.  Diseño de la sección inferior (secuencial)  Los FF están en la sección inferior, de tal forma que el reloj y reset se conectan a ella.  Siendo la sección inferior secuencial, es necesario un Proceso, en el cual se puede usar cualquier sentencia secuencial.  Una plantilla típica de diseño de la sección inferior se muestra a continuación. Estilo de diseño 1 Reset asíncrono Registro síncrono
  • 4.  Diseño de la sección superior (Combinacional)  El código contiene dos cosas:  a) Asignación a la salida;  b) Establecimiento de estado siguiente.  Observar que no hay asignación a señal hecha en la transición de otra señal, de modo que no se infieren FF. Estilo de diseño 1
  • 5. Estilo de diseño 1: Plantilla Tipo enumerado: contiene todos los estados. Sección secuencial. Sección Combinacion al.
  • 6.  Un contador es un ejemplo de una máquina de Moore, ya que la salida depende solo del estado presente. Ejemplo 1: contador BCD.
  • 7. Ejemplo 2: FSM simple.
  • 8. Ejemplo 2: FSM simple. D = 1 x = a D = 0
  • 9.  En el primer estilo de diseño, solo el estado presente (estado_pr) es almacenado.  En este caso, si se usa una máquina de Mealy (la salida depende de la entrada actual), la salida cambia cuando la entrada cambia (salida asíncrona).  En muchas aplicaciones, las señales deben ser síncronas, de modo que la salida debe actualizarse solo cuando existe un pulso de reloj.  Para hacer una máquina de Mealy síncrona, la salida deber ser almacenada también. Estilo de diseño 2 El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).
  • 10. Estilo de diseño 2: Plantilla La asignación de la salida solo se ejecuta al pulso de reloj. El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).
  • 11. Estilo de diseño 2: FSM simple.
  • 12.  Cuando una señal es almacenada, su valor permanece estático entre dos flancos de reloj. Por lo tanto, si la entrada (a o b) cambia durante este intervalo, el cambio no se observará por el circuito. Estilo de diseño 2: FSM simple.
  • 13.  El circuito tiene como entrada una cadena serial de bits y su salida será 1 cuando la secuencia "111" ocurra. En caso de solapamiento, esto es, una secuencia 0111110 suceda, la salida debe mantenerse activa por tres ciclos consecutivos de reloj. Ejemplo: Detector de secuencia.
  • 14. Ejemplo: Detector de secuencia. Solapamien to, la salida sigue siendo 1.
  • 15. Ejemplo: Detector de secuencia (TB). Notar que la salida no depende de la entrada actual. Todas las asignaciones a q son incondicionales (esto es, no dependen de d). Por lo tanto, la salida es automáticamente sincronizada. In: …111… Out: …001…
  • 16. Ejemplo: controlador de semáforo. Se asume que la frecuencia de reloj es 60Hz (tomada de la misma fuente de poder).
  • 18.  Para visualizar la salida de forma óptima, se ha modificado el valor de todas las contantes a 3, excepto el valor de timeTest, que vale 1. Se espera que el sistema cambie de estado cada tres ciclos de reloj cuando está en modo de operación regular, o cada ciclo de reloj si está en mode Test. Ejemplo: controlador de semáforo.
  • 19.  Se diseñará dos máquinas de estado, una que operará exclusivamente en la transición positiva del reloj y otra que operará exclusivamente en el flanco negativo. Esto generará la señal alternativa out 1 y out2 . Estas señales serán tratadas con la operación and para obtener la señal deseada.  Notar que el circuito no posee entradas externas (excepto la señal de reloj), de modo Ejemplo: generador de funciones.
  • 20. Ejemplo: generador de funciones. 0 1 1 1 0 1
  • 21. Referencias:  Volnei A. Pedroni. 2004. Circuit Design with VHDL. MIT Press, Cambridge, MA, USA.  Maxinez, D.G., Alcalá, J. 2003. VHDL: el arte de programar sistemas digitales. Compañía Editorial Continental.