Este documento presenta información sobre sistemas digitales combinacionales. Explica conceptos como multiplexores, demultiplexores y decodificadores, y cómo se pueden usar estos componentes para implementar funciones lógicas. También cubre temas como PLA, PAL, ROM y FPGA, y el uso de lenguajes de descripción hardware.
Este documento introduce conceptos básicos de electrónica como cargas eléctricas, materiales semiconductores, y dispositivos como diodos y transistores. Explica las propiedades de los semiconductores como el silicio y el germanio, incluyendo sus niveles de energía y cómo se pueden modificar mediante dopado. También describe cómo funcionan los diodos y sus aplicaciones principales como rectificadores y reguladores de voltaje.
Este documento describe una serie de actividades prácticas realizadas en un laboratorio de electrónica. En la primera actividad, se generó una señal senoidal con un generador y se visualizó en un osciloscopio para determinar sus parámetros. En la segunda actividad, se generó otra señal y se midieron sus parámetros. En la tercera actividad, se generó una señal triangular y se midieron sus parámetros. Finalmente, en la cuarta actividad se generó una señal cuadrada y se varió el offset del generador para observar
El documento describe los puentes de Wheatstone y Maxwell, que se usan para medir resistencias y parámetros de inductores desconocidos. El puente de Wheatstone consiste en cuatro ramas resistivas conectadas en forma de diamante, y permite calcular una resistencia desconocida a partir de tres resistencias conocidas. El puente de Maxwell utiliza una configuración similar con una inductancia y un condensador para medir la inductancia y resistencia en serie de un inductor. Se presentan ejemplos numéricos de cálculos usando ambos tipos de puentes.
Este documento describe un experimento de laboratorio para analizar las características de los transistores BJT y MOSFET. En la práctica, los estudiantes identifican las terminales y modos de operación de los transistores NPN y PNP usando multímetros. También miden las tensiones y corrientes en diferentes configuraciones de circuitos con transistores para determinar sus regiones de operación.
Este documento describe la construcción y análisis de un amplificador clase AB. Se ensambló un circuito en un protoboard usando varios componentes electrónicos como transistores, diodos y capacitores. Se midieron las señales de salida a diferentes frecuencias y se compararon los resultados experimentales con simulaciones. Los resultados experimentales mostraron una disminución de la ganancia a frecuencias mayores a 1 kHz, mientras que las simulaciones no lo hicieron.
Informe previo y experimento nª2 del Lab. Circuitos Electronicos II UNSAAC(wa...Watner Ochoa Núñez
Este documento describe un amplificador multietapa cascode y su análisis. Un amplificador cascode utiliza un transistor conectado en serie con otro para proporcionar alta impedancia de entrada y ancho de banda mejorado. El documento explica las ventajas de usar JFET en un amplificador cascode y realiza un análisis DC del circuito, determinando los puntos de operación de cada transistor. Finalmente, enumera algunas aplicaciones comunes de los amplificadores multietapa como receptores RF y moduladores AM.
El documento describe los conceptos de función de transferencia, diagramas de Bode y su análisis en MATLAB. Una función de transferencia representa el comportamiento dinámico de un sistema usando la transformada de Laplace. Los diagramas de Bode analizan la respuesta en frecuencia de un sistema mostrando la ganancia y fase. MATLAB puede graficar polos, ceros y diagramas de Bode de funciones de transferencia para determinar la estabilidad de un sistema.
Este documento introduce conceptos básicos de electrónica como cargas eléctricas, materiales semiconductores, y dispositivos como diodos y transistores. Explica las propiedades de los semiconductores como el silicio y el germanio, incluyendo sus niveles de energía y cómo se pueden modificar mediante dopado. También describe cómo funcionan los diodos y sus aplicaciones principales como rectificadores y reguladores de voltaje.
Este documento describe una serie de actividades prácticas realizadas en un laboratorio de electrónica. En la primera actividad, se generó una señal senoidal con un generador y se visualizó en un osciloscopio para determinar sus parámetros. En la segunda actividad, se generó otra señal y se midieron sus parámetros. En la tercera actividad, se generó una señal triangular y se midieron sus parámetros. Finalmente, en la cuarta actividad se generó una señal cuadrada y se varió el offset del generador para observar
El documento describe los puentes de Wheatstone y Maxwell, que se usan para medir resistencias y parámetros de inductores desconocidos. El puente de Wheatstone consiste en cuatro ramas resistivas conectadas en forma de diamante, y permite calcular una resistencia desconocida a partir de tres resistencias conocidas. El puente de Maxwell utiliza una configuración similar con una inductancia y un condensador para medir la inductancia y resistencia en serie de un inductor. Se presentan ejemplos numéricos de cálculos usando ambos tipos de puentes.
Este documento describe un experimento de laboratorio para analizar las características de los transistores BJT y MOSFET. En la práctica, los estudiantes identifican las terminales y modos de operación de los transistores NPN y PNP usando multímetros. También miden las tensiones y corrientes en diferentes configuraciones de circuitos con transistores para determinar sus regiones de operación.
Este documento describe la construcción y análisis de un amplificador clase AB. Se ensambló un circuito en un protoboard usando varios componentes electrónicos como transistores, diodos y capacitores. Se midieron las señales de salida a diferentes frecuencias y se compararon los resultados experimentales con simulaciones. Los resultados experimentales mostraron una disminución de la ganancia a frecuencias mayores a 1 kHz, mientras que las simulaciones no lo hicieron.
Informe previo y experimento nª2 del Lab. Circuitos Electronicos II UNSAAC(wa...Watner Ochoa Núñez
Este documento describe un amplificador multietapa cascode y su análisis. Un amplificador cascode utiliza un transistor conectado en serie con otro para proporcionar alta impedancia de entrada y ancho de banda mejorado. El documento explica las ventajas de usar JFET en un amplificador cascode y realiza un análisis DC del circuito, determinando los puntos de operación de cada transistor. Finalmente, enumera algunas aplicaciones comunes de los amplificadores multietapa como receptores RF y moduladores AM.
El documento describe los conceptos de función de transferencia, diagramas de Bode y su análisis en MATLAB. Una función de transferencia representa el comportamiento dinámico de un sistema usando la transformada de Laplace. Los diagramas de Bode analizan la respuesta en frecuencia de un sistema mostrando la ganancia y fase. MATLAB puede graficar polos, ceros y diagramas de Bode de funciones de transferencia para determinar la estabilidad de un sistema.
Este documento describe los componentes y el funcionamiento básico de una fuente de alimentación. Explica que una fuente de alimentación convierte la tensión de corriente alterna de la red eléctrica en tensión continua requerida por los circuitos electrónicos. Detalla los cuatro componentes principales: el transformador, el rectificador, el filtro y el regulador. También describe los diferentes tipos de fuentes, incluidas las fuentes conmutadas y reguladas.
Este documento describe el funcionamiento de un rectificador de media onda. Explica que un rectificador convierte la tensión alterna en continua eliminando la mitad de la señal de entrada dependiendo de la polarización del diodo. Muestra un circuito rectificador de media onda y analiza su funcionamiento en cada mitad del ciclo. También cubre los efectos del umbral de conducción del diodo de silicio en el voltaje de salida continua. Finalmente, propone un ejercicio y práctica para construir y analizar experimentalmente un rectificador de media on
Este documento presenta un proyecto realizado por un grupo de estudiantes de un Técnico en Programación sobre el uso de una matriz de LEDs con Arduino. Explica los materiales utilizados, el código para programar la matriz, y las conclusiones de cada estudiante sobre lo que aprendieron al realizar el proyecto como la programación de letras, figuras y el reforzamiento de sus conocimientos sobre este componente.
Un circuito RC es una red eléctrica compuesta de resistencias y condensadores. Puede usarse para filtrar señales al bloquear ciertas frecuencias. Un circuito RC de primer orden contiene un resistor y un condensador. La constante de tiempo RC determina cómo se carga y descarga el condensador.
Este documento presenta el diseño de sistemas secuenciales síncronos. Explica los conceptos de máquina de estados finitos, autómata de Moore y autómata de Mealy. Luego, muestra un ejemplo completo del diseño de un sistema secuencial que controla el tráfico en una confluencia de vías férreas, incluyendo tablas de estados, transiciones, asignación de variables de estado y tablas de verdad.
El documento describe diferentes tipos de acoplamientos entre etapas de amplificadores multi-etapas, incluyendo acoplamiento R-C, directo y con transformador. También discute amplificadores de banda ancha y RF, los cuales requieren circuitos especiales y componentes para amplificar señales de alta frecuencia. El documento provee ejemplos de circuitos multi-etapas con diferentes configuraciones de acoplamiento entre etapas de amplificación.
Este documento describe diferentes tipos de circuitos rectificadores utilizados en fuentes de alimentación electrónicas. Explica que los rectificadores contienen diodos que convierten la corriente alterna de la red eléctrica en corriente continua requerida por los dispositivos electrónicos. Luego describe los rectificadores de media onda, de onda completa con transformador de toma intermedia y con puente, analizando su funcionamiento.
1) El amplificador diferencial o par acoplado por emisor es la primera etapa de un amplificador operacional y se comporta como un amplificador o interruptor dependiendo de la señal de entrada. 2) En modo diferencial, la señal se amplifica de forma lineal, mientras que en modo común la ganancia es menor. 3) El amplificador diferencial es un bloque de construcción esencial en circuitos integrados amplificadores debido a su habilidad para amplificar señales diferenciales de manera selectiva.
Este documento presenta un estudio detallado de circuitos rectificadores utilizando diodos. Se estudian diferentes configuraciones de circuitos rectificadores como rectificador de media onda, rectificador de onda completa, rectificador en puente y restaurador de DC. Se miden y comparan parámetros como la eficiencia de rectificación, el factor de rizo y el voltaje inverso pico para cada configuración. Finalmente, se presentan conclusiones sobre la importancia del parámetro voltaje inverso pico y las ventajas e inconvenientes de cada configuración rect
Este documento describe dos experimentos realizados en un laboratorio de electrónica analógica. El primer experimento involucra la medición de tensiones en un circuito con dos transistores NPN y analiza la distorsión en la señal de salida. El segundo experimento mide las características de un amplificador transistorizado en configuraciones emisor común y base común, incluyendo ganancia, impedancia de entrada y respuesta en frecuencia.
Este documento describe las características clave de los diodos 1N4001-1N4007. Explica parámetros como la tensión inversa de ruptura, la corriente máxima con polarización directa, la caída de tensión con polarización directa, y la corriente inversa máxima. También incluye gráficas que muestran la relación entre la corriente máxima y la temperatura, y entre el voltaje directo y la corriente directa máxima. El documento enfatiza la importancia de considerar factores
Electronica analisis a pequeña señal fetVelmuz Buzz
1) Los amplificadores con transistores de efecto de campo (FET) proporcionan una alta ganancia de voltaje y una alta impedancia de entrada. 2) Los dispositivos FET como los MOSFET decrecientes se pueden usar para diseñar amplificadores con ganancias similares de voltaje, aunque los MOSFET tienen una mayor impedancia de entrada. 3) El modelo equivalente de pequeña señal para los FET es más simple que para los BJT, usando el factor de transconductancia gm en lugar del factor de ganancia β.
Este documento contiene un taller y deber del bloque 1 para el curso de 4to ciclo de Ing. Electrónica. Incluye definiciones de términos como material intrínseco y enlace covalente. También contiene problemas resueltos sobre diodos, capacitancia y otros temas relacionados con semiconductores. El deber incluye explicar la estructura atómica del cobre y por qué es un buen conductor en comparación con otros materiales como el silicio y el germanio.
Aplicaciones de los diodos recortadoresFranklin J.
Este documento describe los circuitos recortadores de señal utilizando diodos. Explica que los circuitos recortadores en serie y paralelo permiten eliminar parte de una señal alterna mediante la implementación de diodos y resistencias. Presenta ejemplos de circuitos recortadores en serie y paralelo, y muestra las formas de onda de salida esperadas y medidas experimentalmente.
Este documento describe las fuentes de tensión y corriente ideales como elementos básicos de circuitos. Explica que las fuentes ideales de tensión mantienen una tensión constante independientemente de la corriente, mientras que las fuentes de corriente mantienen una corriente constante independientemente de la tensión. También distingue entre fuentes independientes, cuyos valores no dependen de otras partes del circuito, y fuentes dependientes, cuyos valores sí dependen de otras tensiones o corrientes en el circuito.
El documento proporciona una introducción a la electrónica, describiendo los diferentes campos, componentes y conceptos fundamentales. Explica que la electrónica se enfoca en la información transportada por señales eléctricas y cómo esta información es manipulada por sistemas electrónicos. También describe los diferentes tipos de componentes pasivos y activos, y conceptos clave como señales analógicas y digitales, diodos, transistores y circuitos integrados.
types of voltage regulator and DC power supply notes.pdfSorrystudy
In This presentation file their is a topic named Types of voltage regulator and DC power supply explained easily. You will interesting material in this PPT file.
Este documento proporciona una guía rápida del programa Multisim 2001 para simular circuitos analógicos y digitales. Explica la pantalla principal del programa y cómo dibujar y simular circuitos. También describe cómo realizar medidas con el multímetro y osciloscopio virtuales, incluyendo el análisis de transitorios y la respuesta en frecuencia de circuitos. Por último, explica los componentes y herramientas para simular circuitos digitales.
This document describes an audio amplifier circuit design project. It includes a schematic, description of using differential Darlington pairs and a current source, specifications measured from Multisim, a Bode plot, oscilloscope images, and conclusions. The conclusions note that careful design is needed for circuits of this magnitude, the signal generator impedance affects distortion, and element ratios strongly influence the circuit function.
Este documento presenta los detalles de una práctica de laboratorio sobre distorsión lineal. La práctica analiza la distorsión en una línea telefónica y cómo puede corregirse usando un circuito corrector de distorsión. Se midió la respuesta en frecuencia de la línea sola, del circuito corrector y de ambos en cascada, y los resultados muestran que el circuito corrector logra contrarrestar efectivamente la distorsión de la línea.
Este documento describe conceptos básicos de electrónica digital como señales analógicas y digitales, sistemas de numeración como binario y hexadecimal, operaciones lógicas como AND, OR y NOT, y circuitos lógicos como codificadores, decodificadores, multiplexores y demultiplexores. También explica cómo simplificar funciones lógicas usando álgebra de Boole y tablas de Karnaugh.
Este documento describe conceptos básicos de electrónica digital como señales analógicas y digitales, códigos de numeración como binario y hexadecimal, operaciones lógicas como AND, OR y NOT, y circuitos lógicos como codificadores, decodificadores y multiplexores. También explica cómo simplificar funciones lógicas usando álgebra de Boole y tablas de Karnaugh.
Este documento describe los componentes y el funcionamiento básico de una fuente de alimentación. Explica que una fuente de alimentación convierte la tensión de corriente alterna de la red eléctrica en tensión continua requerida por los circuitos electrónicos. Detalla los cuatro componentes principales: el transformador, el rectificador, el filtro y el regulador. También describe los diferentes tipos de fuentes, incluidas las fuentes conmutadas y reguladas.
Este documento describe el funcionamiento de un rectificador de media onda. Explica que un rectificador convierte la tensión alterna en continua eliminando la mitad de la señal de entrada dependiendo de la polarización del diodo. Muestra un circuito rectificador de media onda y analiza su funcionamiento en cada mitad del ciclo. También cubre los efectos del umbral de conducción del diodo de silicio en el voltaje de salida continua. Finalmente, propone un ejercicio y práctica para construir y analizar experimentalmente un rectificador de media on
Este documento presenta un proyecto realizado por un grupo de estudiantes de un Técnico en Programación sobre el uso de una matriz de LEDs con Arduino. Explica los materiales utilizados, el código para programar la matriz, y las conclusiones de cada estudiante sobre lo que aprendieron al realizar el proyecto como la programación de letras, figuras y el reforzamiento de sus conocimientos sobre este componente.
Un circuito RC es una red eléctrica compuesta de resistencias y condensadores. Puede usarse para filtrar señales al bloquear ciertas frecuencias. Un circuito RC de primer orden contiene un resistor y un condensador. La constante de tiempo RC determina cómo se carga y descarga el condensador.
Este documento presenta el diseño de sistemas secuenciales síncronos. Explica los conceptos de máquina de estados finitos, autómata de Moore y autómata de Mealy. Luego, muestra un ejemplo completo del diseño de un sistema secuencial que controla el tráfico en una confluencia de vías férreas, incluyendo tablas de estados, transiciones, asignación de variables de estado y tablas de verdad.
El documento describe diferentes tipos de acoplamientos entre etapas de amplificadores multi-etapas, incluyendo acoplamiento R-C, directo y con transformador. También discute amplificadores de banda ancha y RF, los cuales requieren circuitos especiales y componentes para amplificar señales de alta frecuencia. El documento provee ejemplos de circuitos multi-etapas con diferentes configuraciones de acoplamiento entre etapas de amplificación.
Este documento describe diferentes tipos de circuitos rectificadores utilizados en fuentes de alimentación electrónicas. Explica que los rectificadores contienen diodos que convierten la corriente alterna de la red eléctrica en corriente continua requerida por los dispositivos electrónicos. Luego describe los rectificadores de media onda, de onda completa con transformador de toma intermedia y con puente, analizando su funcionamiento.
1) El amplificador diferencial o par acoplado por emisor es la primera etapa de un amplificador operacional y se comporta como un amplificador o interruptor dependiendo de la señal de entrada. 2) En modo diferencial, la señal se amplifica de forma lineal, mientras que en modo común la ganancia es menor. 3) El amplificador diferencial es un bloque de construcción esencial en circuitos integrados amplificadores debido a su habilidad para amplificar señales diferenciales de manera selectiva.
Este documento presenta un estudio detallado de circuitos rectificadores utilizando diodos. Se estudian diferentes configuraciones de circuitos rectificadores como rectificador de media onda, rectificador de onda completa, rectificador en puente y restaurador de DC. Se miden y comparan parámetros como la eficiencia de rectificación, el factor de rizo y el voltaje inverso pico para cada configuración. Finalmente, se presentan conclusiones sobre la importancia del parámetro voltaje inverso pico y las ventajas e inconvenientes de cada configuración rect
Este documento describe dos experimentos realizados en un laboratorio de electrónica analógica. El primer experimento involucra la medición de tensiones en un circuito con dos transistores NPN y analiza la distorsión en la señal de salida. El segundo experimento mide las características de un amplificador transistorizado en configuraciones emisor común y base común, incluyendo ganancia, impedancia de entrada y respuesta en frecuencia.
Este documento describe las características clave de los diodos 1N4001-1N4007. Explica parámetros como la tensión inversa de ruptura, la corriente máxima con polarización directa, la caída de tensión con polarización directa, y la corriente inversa máxima. También incluye gráficas que muestran la relación entre la corriente máxima y la temperatura, y entre el voltaje directo y la corriente directa máxima. El documento enfatiza la importancia de considerar factores
Electronica analisis a pequeña señal fetVelmuz Buzz
1) Los amplificadores con transistores de efecto de campo (FET) proporcionan una alta ganancia de voltaje y una alta impedancia de entrada. 2) Los dispositivos FET como los MOSFET decrecientes se pueden usar para diseñar amplificadores con ganancias similares de voltaje, aunque los MOSFET tienen una mayor impedancia de entrada. 3) El modelo equivalente de pequeña señal para los FET es más simple que para los BJT, usando el factor de transconductancia gm en lugar del factor de ganancia β.
Este documento contiene un taller y deber del bloque 1 para el curso de 4to ciclo de Ing. Electrónica. Incluye definiciones de términos como material intrínseco y enlace covalente. También contiene problemas resueltos sobre diodos, capacitancia y otros temas relacionados con semiconductores. El deber incluye explicar la estructura atómica del cobre y por qué es un buen conductor en comparación con otros materiales como el silicio y el germanio.
Aplicaciones de los diodos recortadoresFranklin J.
Este documento describe los circuitos recortadores de señal utilizando diodos. Explica que los circuitos recortadores en serie y paralelo permiten eliminar parte de una señal alterna mediante la implementación de diodos y resistencias. Presenta ejemplos de circuitos recortadores en serie y paralelo, y muestra las formas de onda de salida esperadas y medidas experimentalmente.
Este documento describe las fuentes de tensión y corriente ideales como elementos básicos de circuitos. Explica que las fuentes ideales de tensión mantienen una tensión constante independientemente de la corriente, mientras que las fuentes de corriente mantienen una corriente constante independientemente de la tensión. También distingue entre fuentes independientes, cuyos valores no dependen de otras partes del circuito, y fuentes dependientes, cuyos valores sí dependen de otras tensiones o corrientes en el circuito.
El documento proporciona una introducción a la electrónica, describiendo los diferentes campos, componentes y conceptos fundamentales. Explica que la electrónica se enfoca en la información transportada por señales eléctricas y cómo esta información es manipulada por sistemas electrónicos. También describe los diferentes tipos de componentes pasivos y activos, y conceptos clave como señales analógicas y digitales, diodos, transistores y circuitos integrados.
types of voltage regulator and DC power supply notes.pdfSorrystudy
In This presentation file their is a topic named Types of voltage regulator and DC power supply explained easily. You will interesting material in this PPT file.
Este documento proporciona una guía rápida del programa Multisim 2001 para simular circuitos analógicos y digitales. Explica la pantalla principal del programa y cómo dibujar y simular circuitos. También describe cómo realizar medidas con el multímetro y osciloscopio virtuales, incluyendo el análisis de transitorios y la respuesta en frecuencia de circuitos. Por último, explica los componentes y herramientas para simular circuitos digitales.
This document describes an audio amplifier circuit design project. It includes a schematic, description of using differential Darlington pairs and a current source, specifications measured from Multisim, a Bode plot, oscilloscope images, and conclusions. The conclusions note that careful design is needed for circuits of this magnitude, the signal generator impedance affects distortion, and element ratios strongly influence the circuit function.
Este documento presenta los detalles de una práctica de laboratorio sobre distorsión lineal. La práctica analiza la distorsión en una línea telefónica y cómo puede corregirse usando un circuito corrector de distorsión. Se midió la respuesta en frecuencia de la línea sola, del circuito corrector y de ambos en cascada, y los resultados muestran que el circuito corrector logra contrarrestar efectivamente la distorsión de la línea.
Este documento describe conceptos básicos de electrónica digital como señales analógicas y digitales, sistemas de numeración como binario y hexadecimal, operaciones lógicas como AND, OR y NOT, y circuitos lógicos como codificadores, decodificadores, multiplexores y demultiplexores. También explica cómo simplificar funciones lógicas usando álgebra de Boole y tablas de Karnaugh.
Este documento describe conceptos básicos de electrónica digital como señales analógicas y digitales, códigos de numeración como binario y hexadecimal, operaciones lógicas como AND, OR y NOT, y circuitos lógicos como codificadores, decodificadores y multiplexores. También explica cómo simplificar funciones lógicas usando álgebra de Boole y tablas de Karnaugh.
El documento describe los conceptos básicos de codificadores y decodificadores digitales. Explica cómo funcionan codificadores de diferentes tamaños como 2x1, 4x2 y 8x3, y cómo procesan datos digitales. También describe decodificadores como 1x2, 2x4 y 3x8, y su procesamiento digital. Finalmente, discute el uso de codificadores y decodificadores en sistemas digitales para comprimir y descomprimir información.
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...Fernando Marcos Marcos
Se diseñó un circuito para lectura de temperatura utilizando el sensor LM35 que muestra la lectura en 4 displays de siete segmentos mediante un multiplexor. El circuito se desarrolló con una tarjeta Arduino Uno que lee la señal del sensor LM35 y la muestra en los displays después de convertirla a grados centígrados.
Este documento describe varios circuitos digitales diseñados con compuertas lógicas. Incluye un detector de números primos, un conversor binario a BCD y un sumador completo de 4 bits. Explica cómo se analizan las tablas de verdad y ecuaciones booleanas para diseñar cada circuito y verificar su funcionamiento a través de simulaciones.
Este documento describe varios circuitos lógicos combinacionales MSI (Integración a Media Escala) como comparadores, decodificadores, codificadores, displays y multiplexores. Explica sus funciones, cómo funcionan internamente y los ejemplos de circuitos MSI comunes como el 7485 (comparador), 74154 (decodificador 4 a 16), 7447 (decodificador BCD a 7 segmentos) y 74151 (multiplexor 8 a 1).
Este documento introduce los conceptos básicos de los sistemas digitales y la electrónica digital. Explica los sistemas de numeración binaria, decimal y hexadecimal, y cómo convertir entre ellos. También cubre el álgebra de Boole, incluyendo tablas de verdad y funciones lógicas básicas como AND, OR y NOT. Finalmente, presenta métodos para simplificar funciones lógicas como propiedades de Boole y mapas de Karnaugh.
Este documento trata sobre sistemas combinacionales, en particular sumadores. Explica cómo funcionan los semisumadores y sumadores completos, y cómo se pueden usar estos módulos básicos para construir sumadores de palabras mediante un diseño modular. También discute diferentes formatos de representación de números, como signo-magnitud, complemento a 1 y complemento a 2, y cómo se pueden realizar sumas y restas en cada uno de estos formatos.
Electrónica digital: Ruta de datos multiplexores y demultiplexores SANTIAGO PABLO ALBERTO
Este documento describe los multiplexores y demultiplexores. Los multiplexores tienen varias entradas y una salida, y se utilizan para combinar señales o implementar funciones lógicas. Los demultiplexores tienen una entrada y varias salidas, y se utilizan para distribuir señales o decodificar códigos. El documento también explica cómo diseñar circuitos con multiplexores y demultiplexores en árboles, y cómo utilizarlos para cambiar entre los códigos BCD y Gray.
Este documento describe los pasos para diseñar circuitos lógicos, incluyendo la descripción del problema, asignación de símbolos, tabla de verdad, funciones booleanas y diagrama lógico. Explica circuitos lógicos tradicionales como semi-sumadores, sumadores completos, comparadores y decodificadores. Para cada uno, presenta la tabla de verdad, funciones booleanas y diagrama lógico implementado.
Este documento presenta los objetivos y material necesario para una práctica de electrónica sobre circuitos lógicos. Se explica el funcionamiento de displays de 7 segmentos y decodificadores BCD/7-segmentos. Luego, se propone como aplicación el diseño de un circuito para controlar el nivel de agua en un depósito mediante sensores y bombas, con ejercicios para representar la lógica del sistema y construir el circuito físicamente.
Los circuitos lógicos combinatorios son arreglos de compuertas lógicas con entradas y salidas. Se usan en computadoras para procesar datos y control binario. Su análisis comienza con un diagrama y termina con funciones booleanas o tabla de verdad. Su diseño parte de un problema verbal y termina con un diagrama lógico. Ejemplos incluyen sumadores, restadores, decodificadores y multiplexores.
Este documento presenta una guía para el desarrollo de un trabajo académico. Incluye instrucciones sobre el uso de fuentes, criterios de evaluación y 10 preguntas o problemas que deben resolverse. Se prohíbe el plagio y se evaluará el trabajo considerando la presentación, investigación, análisis de casos y contenido.
Este documento describe los sistemas combinacionales MSI (Medium Scale Integration), que contienen entre 100 y 1000 puertas lógicas. Explica cómo los decodificadores, codificadores, convertidores de códigos y multiplexores son ejemplos comunes de circuitos MSI utilizados en computadoras para funciones como codificación, decodificación, transmisión y procesamiento de datos. También proporciona ejemplos detallados de circuitos MSI populares como decodificadores, codificadores y multiplexores, junto con sus tablas de verdad y diagramas
Este documento presenta un laboratorio sobre circuitos aritméticos y lógicos para el manejo de datos utilizando compuertas open collector y tri-state. El laboratorio incluye objetivos como comprobar el funcionamiento de sumadores y comparadores binarios de 4 bits, e implementar circuitos combinacionales usando decodificadores, codificadores, multiplexores y sumadores. El documento también describe los materiales requeridos y una serie de ejercicios y cuestionarios para ser desarrollados como parte del laboratorio.
Este documento describe circuitos combinacionales básicos como decodificadores, multiplexores, codificadores y demultiplexores. Explica cómo implementar funciones lógicas mediante tablas de verdad, diagramas de Karnaugh y circuitos combinacionales. También presenta ejemplos de circuitos como un decodificador 2-4, codificador de prioridad 8-3 y multiplexor 4-1 con sus tablas de verdad, ecuaciones y esquemas de circuitos.
Uso del convertidor analògico digital de un microcontroladorGabriel Beltran
Un conversor ADC es un circuito que toma valores analógicos de tensión y los convierte en códigos binarios. Los valores que definen los límites de las tensiones a medir se denominan voltajes de referencia y se representan por Vref- (el mínimo) y Vref+ (el máximo).
La resolución del conversor queda determinada por la cantidad de bits que representan el resultado de la conversión. Así, se pueden encontrar conversores de 8 bits, de 10 bits, etc
Este documento describe un circuito sumador/restador binario de 8 bits. Explica cómo utilizar sumadores binarios paralelos de 4 bits para sumar y restar números binarios de 8 bits. También incluye ejemplos de operaciones aritméticas binarias y una lista de materiales necesarios para implementar el circuito.
El documento describe los componentes internos y externos de un PC, incluyendo conectores, tarjetas de expansión, slots, dispositivos de almacenamiento y procesamiento. Se enumeran elementos como puertos PS/2, USB, VGA, tarjetas de video y red, slots IDE y PCI, memoria RAM, procesador y BIOS. También presenta ejercicios sobre sistemas binarios, conversión de bases numéricas, representación de números en coma flotante y corrección de errores con códigos de Hamming.
Este documento describe diferentes tipos de decodificadores y codificadores lógicos combinacionales. Explica cómo los decodificadores convierten códigos binarios de entrada en líneas de salida activadas y cómo los codificadores realizan la función inversa. Además, presenta ejemplos de diseño de decodificadores BCD a decimal, sumador de 2 bits con decodificador, decodificador BCD a 7 segmentos y decodificadores con entrada de habilitación.
Similar a Electrónica digital: sistemas combinacionales multiplexores (20)
Este manual describe diferentes métodos para el diseño de sistemas electroneumáticos avanzados, incluyendo métodos directos, de bandera, cascada, paso a paso mínimo y máximo. Incluye secciones sobre secuencias, controles lógicos programables y diagnóstico de fallas. El documento proporciona ejemplos y ejercicios para aplicar estos métodos.
Este documento trata sobre controladores lógicos programables (PLC). Presenta una introducción a los PLC, incluyendo su concepto, ventajas, campos de aplicación, estructura y equipos de programación. También clasifica los diferentes tipos de PLC y describe su uso en tableros de control industrial.
El documento consiste en una lista repetida de la dirección web www.FreeLibros.com en más de 200 líneas consecutivas. Proporciona poca información sobre el contenido del sitio web, pero indica que la dirección www.FreeLibros.com es el tema principal del documento.
Este documento presenta una introducción a los controladores lógicos programables (PLCs). Explica que un PLC es un equipo que puede tomar información del mundo exterior, procesarla realizando operaciones lógicas y matemáticas, y ejecutar acciones programadas como respuesta. Describe los elementos básicos de un sistema PLC, incluyendo la unidad central de procesamiento, memoria, módulos de entrada y salida, y dispositivos de entrada y salida. También explica conceptos como el cableado de dispositivos de entrada como interruptores, sens
Este documento presenta una introducción a los autómatas programables (PLC), incluyendo su historia, ventajas e inconvenientes. Explica la estructura interna y externa de los PLC, sus áreas de memoria y modos de funcionamiento. Finalmente, resume las instrucciones básicas de programación para PLC como operadores lógicos, temporizadores, contadores y saltos.
The document is a system manual that provides information about installing, programming, and configuring S7-200 SMART CPUs and expansion modules, including an overview of the products, new features, communication options, and instructions for connecting to a CPU and creating a sample program.
Siemens' SIMATIC S7-200 SMART PLC offers an affordable and flexible automation solution for developing markets. It provides a range of CPU modules with integrated I/O and communication ports. Additional I/O and communication can be added via cost-effective signal boards. The PLC uses a high-speed processor and user-friendly software to provide powerful motion control, networking, and programming capabilities despite its low cost. It can be integrated with other Siemens products to create complete automation solutions for applications like packaging machines.
El documento describe diferentes métodos para variar la velocidad de motores eléctricos de corriente alterna de dos o tres velocidades, incluyendo el uso de dos bobinados independientes, la conexión Dahlander y variadores de frecuencia electrónicos. Se explican circuitos de potencia y mando para cada método y se proporcionan ejemplos de relaciones de velocidad que se pueden lograr.
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...SANTIAGO PABLO ALBERTO
El documento trata sobre buses industriales y de campo. Contiene 16 prácticas sobre diferentes buses como Profibus, Interbus, DeviceNet, ControlNet, DH+ y RIO, Ethernet, MPI y AS-i utilizando equipos Siemens y Rockwell Automation. El autor es José Miguel Rubio Calin, ingeniero técnico industrial que ha desarrollado las prácticas para su uso en centros de formación.
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...SANTIAGO PABLO ALBERTO
Este documento trata sobre electricidad y automatismos eléctricos. Explica conceptos básicos como la generación, transporte y medición de la corriente eléctrica, así como los componentes pasivos como resistencias, bobinas y condensadores. También analiza circuitos eléctricos en corriente continua y alterna monofásica, incluyendo cálculos, leyes y métodos de resolución. Por último, introduce conceptos de electromagnetismo.
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...SANTIAGO PABLO ALBERTO
Este documento presenta un manual sobre el diseño y desarrollo de circuitos impresos utilizando el software libre Kicad. Explica conceptos básicos como footprints, pads, pistas, capas y librerías. Incluye instrucciones para la instalación de Kicad en Windows y Linux, y guías detalladas sobre la edición de esquemas, la creación de la placa de circuito impreso y el diseño de pistas.
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...SANTIAGO PABLO ALBERTO
Este documento describe las condiciones de uso de una tesis protegida por derechos de autor. Se requiere reconocer los derechos del autor y citarlo correctamente. No se puede usar la tesis con fines comerciales ni distribuirla sin permiso.
Este documento presenta información sobre la documentación técnica necesaria para proyectos de automatización. Explica que la documentación debe incluir planos de instalación, diagramas de bloques, esquemas de circuitos, diagramas y tablas, y planos de conexiones. Además, detalla normas para la documentación como IEC 61082 e IEC 60617 y proporciona detalles sobre la identificación de componentes a través de códigos normalizados.
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...SANTIAGO PABLO ALBERTO
Este documento presenta un libro sobre electrónica digital que introduce conceptos básicos de lógica digital como sistemas de numeración, representación de números, codificación de información, álgebra de conmutación y funciones lógicas básicas. El libro fue desarrollado por un equipo de 11 profesores e ingenieros de la Universidad Nacional de Educación a Distancia y está destinado a estudiantes de ingeniería eléctrica y electrónica.
TIA portal Bloques PLC Siemens______.pdfArmandoSarco
Bloques con Tia Portal, El sistema de automatización proporciona distintos tipos de bloques donde se guardarán tanto el programa como los datos
correspondientes. Dependiendo de la exigencia del proceso el programa estará estructurado en diferentes bloques.
1. ELO211: Sistemas Digitales
Tomás Arredondo Vidal
1er Semestre – 2006
Este material está basado en:
textos y material de apoyo: Contemporary Logic Design 1st / 2nd edition. Gaetano
Borriello and Randy Katz. Prentice Hall, 1994, 2005
material del curso ELO211 del Prof. Leopoldo Silva
material en el sitio http://es.wikipedia.org
7: Combinacionales 1
3. Introducción: Componentes básicos
7: Combinacionales 3
Transistores se integraron en compuertas (1960s)
Catálogos de compuertas comunes (1970s)
TI Logic Data Book
listas de los típicos paquetes de chips y sus caracterizaciones
(retardos, consumo)
paquetes típicos en chips de 14 pins
• 6 inversores, 4 compuertas NANDS, 4 compuertas XOR
Cambios (rediseños) a estos diseños son difíciles de
hacer
Hay que reconectar partes o puede necesitar mas
componentes
se diseñaba con compuertas extras en cada placa por si fuera
necesario usarlas
4. Introducción: Bloques multi-uso
Hoy muy pocos de estas chips se utilizan
Pero, bibliotecas de estas compuertas se usan para
diseñar nuevos chips
se reutilizan las compuertas ya caracterizadas
las compuertas no existen en inventario se crean a medida
que se van necesitando
Hoy se usan componentes de lógica programable
se gana en flexibilidad
tiempos mas cortos de diseño
mas difícil de analizar en términos de compuertas especificas
se analiza usando bloques multi-uso mas grandes
7: Combinacionales 4
6. Mux/Demux
7: Combinacionales 6
multiplexor demultiplexor switch 4x4
control control
Se usan para conectar y rutear
Muchos inputs a un output - multiplexor
Un input a muchos outputs – demultiplexor
Se pueden usan para conectar entre diferentes fuentes y
destinos
A
B
Y
Z
7. Mux y demux (cont'd)
Uso de multiplexor/demultiplexor en conexiones
multi-punto (como en este sumador)
MUX
A B
Sum
Ss
B0
MUX
DEMUX
B1A0 A1
S0 S1
Sa Sb múltiples fuentes de input
múltiple destinos de output
7: Combinacionales 7
8. Multiplexores
dos formas alternativas
para una tabla de verdad Mux 2:1
forma funcional
forma lógica
A Z
0 I0
1 I1
I1 I0 A Z
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 0
1 0 1 1
1 1 0 1
1 1 1 1
Z = A' I0 + A I1
Multiplexores: concepto general
2n data inputs, n inputs de control inputs, 1 output
se usan para conectar 2n puntos a un de punto salida
patrón de control binario indexa cual input se conecta al
output
7: Combinacionales 8
9. Multiplexores (cont'd)
2 -1
I0
I1
I2
I3
I4
I5
I6
I7
A B C
8:1
mux
Z
I0
I1
I2
I3
A B
4:1
mux
ZI0
I1
A
2:1
mux Z
k=0
n
2:1 mux: Z = A'I0 + AI1
4:1 mux: Z = A'B'I0 + A'BI1 + AB'I2 + ABI3
8:1 mux: Z = A'B'C'I0 + A'B'CI1 + A'BC'I2 + A'BCI3 +
AB'C'I4 + AB'CI5 + ABC'I6 + ABCI7
En general: Z = Σ (mkIk)
sumatoria de minterms para un 2n:1 Mux
7: Combinacionales 9
11. Multiplexores en cascada
7: Combinacionales 11
señales de control B y C simultáneamente seleccionan
una de I0, I1, I2, I3 y una de I4, I5, I6, I7
señal de control A elige cual de los outputs
de los mux’s se envia a Z
implementación
alternativa
C
Z
A B
4:1
mux
2:1
mux
2:1
mux
2:1
mux
2:1
mux
I4
I5
I2
I3
I0
I1
I6
I7
8:1
mux
Se pueden implementar multiplexores mas grandes usando
multiplexores mas pequeños en cascada
Z
I0
I1
I2
I3
A
I4
I5
I6
I7
B C
4:1
mux
4:1
mux
2:1
mux
8:1
mux
12. Multiplexores para implementar funciones
Un multiplexor 2n:1 puede implementar cualquiera función de n
variables
con las variables usadas como inputs de control y
los inputs de datos con 0 o 1
básicamente, una tabla de referencia
Ejemplo:
F(A,B,C) = m0 + m2 + m6 + m7
= A'B'C' + A'BC' + ABC' + ABC
= A'B'C'(1) + A'B'C(0)
+ A'BC'(1) + A'BC(0)
+ AB'C'(0) + AB'C(0)
+ ABC'(1) + ABC(1)
Z = A'B'C'I0 + A'B'CI1 + A'BC'I2 + A'BCI3 +
AB'C'I4 + AB'CI5 + ABC'I6 + ABCI7
CA B
0
1
2
3
4
5
6
7
S2
8:1 MUX
S1 S0
Z
1
0
1
0
0
0
1
1
F
7: Combinacionales 12
13. Multiplexores para implementar funciones
Un multiplexor 2n-1:1 puede implementar cualquier función de n
variables
con n-1 variables usadas como inputs de control y
los inputs de datos con la ultima variable o su complemento
Ejemplo:
F(A,B,C) = m0 + m2 + m6 + m7
= A'B'C' + A'BC' + ABC' + ABC
= A'B'(C') + A'B(C') + AB'(0) + AB(1)
F
CA B
0
1
2
3
4
5
6
7
1
0
1
0
0
0
1
1
S2
8:1 MUX
S1 S0
A B C F
0 0 0 1
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 1
1 1 1 1
C'
C'
0
1 A B
S1 S0
F
0
1
2
3
4:1 MUX
C'
C'
0
1
7: Combinacionales 13
14. Multiplexores para implementar funciones
Generalización
Ejemplo:
G(A,B,C,D)
se puede realizar
con un MUX 8:1
n-1 variables de
control
una variable de
datos
cuatro posibles
configuraciones
de filas de la
tabla de verdad
se pueden
expresar como
una función de In
I0 I1 . . . In-1 In F
. . . . 0 0 0 1 1
. . . . 1 0 1 0 1
0 In In' 1
7: Combinacionales 14
elegir A,B,C como
variables de control
CA B
0
1
2
3
4
5
6
7
1
D
0
1
D’
D
D’
D’
S2
8:1 MUX
S1 S0
A B C D G
0 0 0 0 1
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 0
0 1 0 1 0
0 1 1 0 1
0 1 1 1 1
1 0 0 0 1
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 1
1 1 0 1 0
1 1 1 0 1
1 1 1 1 0
1
D
0
1
D'
D
D’
D’
15. Actividad
Realizar F = B’CD’ + ABC’ con un 4:1 multiplexor y
un minimo numero de compuertas:
7: Combinacionales 15
A B C D Z
0 0 0 0 0
0 0 0 1 0
0 0 1 0 1
0 0 1 1 0
0 1 0 0 0
0 1 0 1 0
0 1 1 0 0
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 1
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 0
1 1 1 1 0
0 when B’C’
D’ when B’C
A when BC’
0 when BC
Z = B’C’(0) + B’C(D’) + BC’(A) + BC(0)
B C
S1 S0
F
0
1
2
3
4:1 MUX
0
D’
A
0
16. Demultiplexor/decodificador
Decodificador/demultiplexor: concepto general
un input de datos, n inputs de control, 2n outputs
inputs de control (llamado “selects” (S)) representan
index binario de cual output se conecta el input
input de datos típicamente se llama “enable” (G)
1:2 Decoder:
O0 = G • S’
O1 = G • S
3:8 Decoder:
O0 = G • S2’ • S1’ • S0’
O1 = G • S2’ • S1’ • S0
O2 = G • S2’ • S1 • S0’
O3 = G • S2’ • S1 • S0
O4 = G • S2 • S1’ • S0’
O5 = G • S2 • S1’ • S0
O6 = G • S2 • S1 • S0’
O7 = G • S2 • S1 • S0
2:4 Decoder:
O0 = G • S1’ • S0’
O1 = G • S1’ • S0
O2 = G • S1 • S0’
O3 = G • S1 • S0
7: Combinacionales 16
17. Implementación de demultiplexores
7: Combinacionales 17
active-high
enable
active-low
enable
active-high
enable
active-low
enable
O0G
S
O1
O0G
S
O1
S1
O2
O3
O0
G
O1
S0 S1
O2
O3
O0G
O1
S0
decodificador 1:2
decodificador 2:4
18. Demultiplexores para implementar funciones
Un decoder n:2n puede implementar cualquier función de n
variables
con las variables usadas como inputs de control
los inputs de enable conectados a 1
los minterms sumados para formar la función
A'B'C'
A'B'C
A'BC'
A'BC
AB'C'
AB'C
ABC'
ABC
CA B
0
1
2
3
4
5
6
7
S2
3:8 DEC
S1 S0
“1”
demultiplexor genéra minterms apropiados
basados en las señales de control
("decodifica" señales de control)
7: Combinacionales 18
19. Demultiplexores para implementar funciones
F1
F2
F3
F1 = A'BC'D + A'B'CD + ABCD
F2 = ABC'D' + ABC
F3 = (A' + B' + C' + D')
A B
0 A'B'C'D'
1 A'B'C'D
2 A'B'CD'
3 A'B'CD
4 A'BC'D'
5 A'BC'D
6 A'BCD'
7 A'BCD
8 AB'C'D'
9 AB'C'D
10 AB'CD'
11 AB'CD
12 ABC'D'
13 ABC'D
14 ABCD'
15 ABCD
4:16
DECEnable
C D
7: Combinacionales 19
20. Decodificadores en cascada
0 A'B'C'D'E'
1
2
3
4
5
6
7
S2
3:8 DEC
S1 S0
A B
0
1
2
3S1
2:4 DEC
S0
F
0
1
2 A'BC'DE'
3
4
5
6
7
S2
3:8 DEC
S1 S0
EC D
0 AB'C'D'E'
1
2
3
4
5
6
7 AB'CDE
decodificador 5:32
decodificador 1x2:4
decodificador 4x3:8
3:8 DEC
0
1
2
3
4
5
6
7 ABCDE
EC D
S2 S1 S0 S2
3:8 DEC
S1 S0
7: Combinacionales 20
22. Programmable logic arrays
Bloque constructivo de lógica de muchas compuertas AND/OR
en realidad se usan NOR o NAND
"personalizado" al hacer/romper conexiones entre las
compuertas
diagrama de PLA para forma suma de productos
7: Combinacionales 22
• • •
inputs
AND
array
• • •
outputs
OR
arrayproduct
terms
23. PLAs: Concepto que permite implementación
Términos de productos son compartidos
entre los outputs
ejemplo:
F0 = A + B' C'
F1 = A C' + A B
F2 = B' C' + A B
F3 = B' C + A
personality matrix
1 = uncomplemented in term
0 = complemented in term
– = does not participate
1 = term connected to output
0 = no connection to output
input side:
output side:
product inputs outputs
term A B C F0 F1 F2 F3
AB 1 1 – 0 1 1 0
B'C – 0 1 0 0 0 1
AC' 1 – 0 0 1 0 0
B'C' – 0 0 1 0 1 0
A 1 – – 1 0 0 1
reuso de terminos
7: Combinacionales 23
24. Antes de programar
Todas las conexiones posibles están
disponibles antes de “programar”
en realidad, todas las AND y ORs son NANDs
7: Combinacionales 24
25. Durante programación
7: Combinacionales 25
A B C
F1 F2 F3F0
AB
B'C
AC'
B'C'
A
Conexiones no deseadas se eliminan
fuse (normalmente conectadas, se rompen esas no
deseadas)
anti-fuse (normalmente desconectadas, se hacen
conexiones deseadas)
26. Representaciones alternativas
ejemplo:
F0 = A B + A' B'
F1 = C D' + C' D
AB+A'B'
CD'+C'D
AB
A'B'
CD'
C'D
A B C D
Mas corta para no tener que dibujar todos los
cable
significa conexión esta presente y señal
perpendicular es input a una compuerta
7: Combinacionales 26
27. PLA: ejemplo
7: Combinacionales 27
A'B'C'
A'B'C
A'BC'
A'BC
AB'C'
AB'C
ABC'
ABC
A B C
F1 F2 F3 F4 F5
F6
full decoder as for memory address
bits stored in memory
Múltiples funciones usando A, B, C
F1 = A B C
F2 = A + B + C
F3 = A' B' C'
F4 = A' + B' + C'
F5 = A xor B xor C
F6 = A xnor B xnor C
A B C F1 F2 F3 F4 F5 F6
0 0 0 0 0 1 1 0 0
0 0 1 0 1 0 1 1 1
0 1 0 0 1 0 1 1 1
0 1 1 0 1 0 1 0 0
1 0 0 0 1 0 1 1 1
1 0 1 0 1 0 1 0 0
1 1 0 0 1 0 1 0 0
1 1 1 1 1 0 0 1 1
28. PALs y PLAs
7: Combinacionales 28
una columna del arreglo OR
solo tiene acceso a un
subconjunto del los posibles productos
Programmable logic array (PLA)
lo que hemos visto hasta ahora
arreglos AND y OR generales, sin restricciones
Programmable array logic (PAL)
topología del arreglo OR es restringida (eg. 8, 4, 2 ORs,
numero de inputs fijo para cada OR)
plano de ORs es mas rápido y pequeño
todos los términos de los productos no
se comparten entre los ouputs
29. Ejemplo: PALs y PLAs
Conversor de código BCD a Gray
A B C D W X Y Z
0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1
0 0 1 0 0 0 1 1
0 0 1 1 0 0 1 0
0 1 0 0 0 1 1 0
0 1 0 1 1 1 1 0
0 1 1 0 1 0 1 0
0 1 1 1 1 0 1 1
1 0 0 0 1 0 0 1
1 0 0 1 1 0 0 0
1 0 1 – – – – –
1 1 – – – – – –
funciones minimizadas:
W = A + BD + BC
X = BC'
Y = B + C
Z = A'B'C'D + BCD + AD' + B'CD'
7: Combinacionales 29
30. Ejemplo: PALs y PLAs (cont)
Conversor de código: PLA
funciones minimizadas:
W = A + BD + BC
X = B C'
Y = B + C
Z = A'B'C'D + BCD + AD' + B'CD'
A B C D
A
BD
BC
BC'
B
C
A'B'C'D
BCD
AD'
BCD'
W X Y Z
no es un buen candidato para
implementación en PLA
ya que los términos no son
compartidos entre los outputs
pero la implementación es mucho
mas compacta y regular que usando
compuertas AND y OR discretas
7: Combinacionales 30
31. Ejemplo: PALs y PLAs (cont)
Conversor de código: PAL
A
BD
BC
0
BC'
0
0
0
B
C
0
0
A'B'C'D
BCD
AD'
B'CD'
W X Y Z
A B C D
4 términos de
productos por cada
compuerta OR
7: Combinacionales 31
32. Ejemplo: PALs y PLAs (cont)
Conversor de código: implementación con
compuertas individuales NAND
se pierde regularidad, mas difícil de entender
mas difícil hacer cambios
7: Combinacionales 32
W
X
Y
Z
B
B
B
B
B
B
B
C
C
C
A
A
A
D
D
D
D
D
C
C
34. Actividad
Mapear las funciones a un PLA:
W = AB + A’C’ + BC’
X = ABC + AB’ + A’B
Y = ABC’ + BC + B’C’
A B C
W X Y
7: Combinacionales 34
35. W = AB + A’C’ + BC’
X = ABC + AB’ + A’B
Y = ABC’ + BC + B’C’
Actividad (cont)
9 términos no caben en PLA de 7 términos
se puede usar teorema de consenso
a W para simplificar a:
W = AB + A’C’
8 términos no caben en PLA de 7 términos
observe que AB = ABC + ABC’
reescribir W para reutilizar términos:
W = ABC + ABC’ + A’C’
Ahora cabe
W = ABC + ABC’ + A’C’
X = ABC + AB’ + A’B
Y = ABC’ + BC + B’C’
Esto es mapeo de tecnología
manipular funciones lógicas para poder usar
recursos disponibles
ABC
ABC’
A’C’
AB’
A’B
BC
B’C’
A B C
W X Y
7: Combinacionales 35
36. Read-only memories (ROM)
7: Combinacionales 36
decoder
0 n-1
Address
2 -1
n
0
1 1 1 1
word[i] = 0011
word[j] = 1010
lineas de bits (normalmente subido a 1
a través de resistor – selectivamente
conectado a zero por los switches controlados
por las palabras)
j
i
líneas de palabras (solo
unas es activa – decoder
se usa por eso)
Arreglo bidimensional de 1s y 0s
entrada (fila) se llama palabra ("word“)
ancho de fila = word-size
índice es dirección ("address“)
dirección es input
palabra seleccionada es output
ROM: organizacion interna
37. ROMs y lógica combinacional
Implementando lógica combinacional con un ROM
(forma canónica de dos niveles)
F0 = A' B' C + A B' C' + A B' C
F1 = A' B' C + A' B C' + A B C
F2 = A' B' C' + A' B' C + A B' C'
F3 = A' B C + A B' C' + A B C'
truth table
A B C F0 F1 F2 F3
0 0 0 0 0 1 0
0 0 1 1 1 1 0
0 1 0 0 1 0 0
0 1 1 0 0 0 1
1 0 0 1 0 1 1
1 0 1 1 0 0 0
1 1 0 0 0 0 1
1 1 1 0 1 0 0
block diagram
ROM
8 words x 4 bits/word
address outputs
A B C F0F1F2F3
7: Combinacionales 37
38. Estructura de ROM
Similar a PLA pero con un arreglo AND
completamente decodificado
Arreglo OR completamente flexible
n address lines
• • •
inputs
decoder 2n word
lines
• • •
outputs
memory
array
(2n words
by m bits)
m data lines
7: Combinacionales 38
39. ROM vs. PLA
7: Combinacionales 39
ROM ventajoso cuando
tiempo de diseño es corto (no hay que minimizar funciones
de output)
la mayoría de combinaciones de input se necesitan (e.g.,
conversores de código)
no se comparten los productos
problemas ROM
tamaño se duplica para cada input adicional
no se pueden utilizar don't cares
PLA ventajoso cuando
hay herramientas para multi-output minimización
hay pocas combinaciones única de minterms
muchos minterms son compartidos entre las funciones de
output
problemas PAL
restricciones de fan-ins en plano OR
40. Estructuras lógicas: ROM, PAL, PLA
7: Combinacionales 40
ROM – plano AND completo, plano OR general
barato (componente de alto volumen)
puede implementar cualquiera función de n inputs
velocidad media
PAL – plano AND programable, plano OR fijo
costo intermedio
puede implementar funciones con numero de términos
limitados
alta velocidad (solo un plano programable)
PLA – planos AND y OR programmables
mas caro (complejo en diseño, herramientas mas
sofisticadas)
puede implementar cualquiera función has un limite de
términos
lento (dos planos programables)
41. Estructuras lógicas: ROM, PAL, PLA
Difícil lograr una estructura regular (celda o cell) para
la conexión arbitraria para conexiones entre
diferentes tipos de compuertas
requerimientos de eficiencia/velocidad
field programmable gate arrays (FPGAs) usan ese
tipo de estructuras programables multi-nivel
• multiplexores programables para conexiones
• tablas de referencia para funciones lógicas
• celdas multi-uso (utilización es lo importante)
Se pueden usar múltiples niveles de PALs/PLAs/ROMs
output es resultado intermedio
se hace un input para ser usado en otra lógica
7: Combinacionales 41
43. FPGA (Field Programmable Gate Arrays)
Dispositivos basados en arreglos bidimensionales de
bloques lógicos y flip-flops
Interconexiones entre bloques, funciones lógicas y de
control son programables usando HDL
Algunos tienen memoria y procesadores incorporados
Algunos fabricantes proporcionan el código HDL de
microprocesadores de 16 y 32 bits, unidades
multiplicación y acumulación para implementar
diferentes aplicaciones (e.g. filtros digitales)
Diferentes opciones para los bloques lógicos incluyen:
pares de transistores, compuertas NAND de dos
entradas, compuertas AND y XOR, multiplexores y
tablas de búsqueda 7: Combinacionales 43
44. FPGA (Field Programmable Gate Arrays)
7: Combinacionales 44
Ejemplo: celda basada en
multiplexores
Esta celda es de 8 entradas y una salida implementa:
f = (s0 + s1)’(sA’A0+sAA1)(s0 + s1)(sB’B0+sBB1)
Esta celda puede implementar todas las funciones de dos
variables, todas las de tres con al menos unas sin
complementar, muchas de cuatro y hasta algunas de ocho
45. FPGA (Field Programmable Gate Arrays)
Ejemplo: celda basada en
tabla de búsqueda (LUT)
Esta celda es de 4 entradas y puede implementar cualquier función
de cuatro variables
7: Combinacionales 45
Para su funcionamiento primero se graba la tabla de búsqueda con la
tabla de verdad de la función, se direcciona usando el decodificador
Una ves configurada, se usa el multiplexor con la combinación de las
variables de entrada y en la salida se obtiene el bit almacenado
46. FPGA (Field Programmable Gate Arrays)
7: Combinacionales 46
Ejemplo: implementación de función de cuatro variables usando
celdas LUT de 3 variables: f = x2’x3 + x1’x2x3’ + x2x3’x4 + x1x2’x4’
Usando el Teorema de Shannon:
Finalmente:
47. FPGA: Etapas de trabajo
Para trabajar con FPGAs es necesario ingresar el diseño usando
esquemáticos o usando un lenguaje HDL como Verilog o VHDL. Esta
etapa es codificación.
Luego se compila y simula el diseño de la cual se puede verificar el
diseño lógico y el flujo de datos
Luego se ejecuta la fase de síntesis, que implementa el diseño en
compuertas básicas, minimizando las ecuaciones
La próxima fase el mapeo tecnológico, que implementa las ecuaciones
con los elementos lógicos disponibles. También se hacen las
localicaciones de los componentes y los enrutamientos y conexiones
entre los componentes (place and route)
Se ejecutan simulaciones temporales usando el diseño final
Finalmente se genera un archivo binario el cual se graba en el
dispositivo.
7: Combinacionales 47
49. HDLs (Hardware description
languages)
Describen hardware en diferentes niveles de
abstracción
Descripción estructural
reemplazo textual para una esquemática
composición jerárquica de módulos desde elementos
primitivos
Descripción de Comportamiento y Funcional
describe lo que hace un modulo no los componentes
específicos
la etapa de síntesis genera el circuito para el modulo
Requerimientos para la simulación
circuito de prueba
7: Combinacionales 49
50. HDLs
Abel (circa 1983) – desarrollado por Data-I/O
usado para PLUs
principalmente para maquinas de estados
ISP (circa 1977) – proyecto de CMU
simulación, pero no síntesis
Verilog (circa 1985) – desarrollado por Gateway (absorvido por
Cadence)
similar a Pascal y C
eficiente y facil de usar
standard de IEEE
VHDL (circa 1987) – desarrollado por DoD de USA
similar a Ada
muy general pero complejo
standard de IEEE
7: Combinacionales 50
51. Verilog
Permite descripción estructurales y de comportamiento
Estructural
estructura explicita del circuito
e.g., cada compuerta es instanciada y conectada a otras
Comportamiento
programa describe input/output del circuito
pueden haber muchas implementaciones del mismo
comportamiento
e.g., diferentes implementaciones de una función
Booleana
7: Combinacionales 51
53. Modelo simple de comportamiento
Asignación continua
module xor_gate (out, a, b);
input a, b;
output out;
reg out;
assign #6 out = a ^ b;
endmodule
retardo desde cambio en input
hasta cambio en output
registro de simulación –
contiene valor de senal
7: Combinacionales 53
54. Modelo simple de comportamiento
bloque always
module xor_gate (out, a, b);
input a, b;
output out;
reg out;
always @(a or b) begin
#6 out = a ^ b;
end
endmodule especifica cuando se ejecuta el bloque
ie. determinado por que señales
7: Combinacionales 54
55. Activando la simulación usando
un banco de prueba (testbench)
7: Combinacionales 55
module testbench (x, y);
output x, y;
reg [1:0] cnt;
initial begin
cnt = 0;
repeat (4) begin
#10 cnt = cnt + 1;
$display ("@ time=%d, x=%b, y=%b, cnt=%b",
$time, x, y, cnt); end
#10 $finish;
end
assign x = cnt[1];
assign y = cnt[0];
endmodule
vector de 2-bits
bloque inicial se ejecuta
una vez al inicio de la
simulación
directiva de
detener simulación
imprimir a consola
57. Ejemplo comparador
module Compare1 (Equal, Alarger, Blarger, A, B);
input A, B;
output Equal, Alarger, Blarger;
assign #5 Equal = (A & B) | (~A & ~B);
assign #3 Alarger = (A & ~B);
assign #3 Blarger = (~A & B);
endmodule
7: Combinacionales 57
58. Modelo de comportamiento mas
complejo
7: Combinacionales 58
module life (n0, n1, n2, n3, n4, n5, n6, n7, self, out);
input n0, n1, n2, n3, n4, n5, n6, n7, self;
output out;
reg out;
reg [7:0] neighbors;
reg [3:0] count;
reg [3:0] i;
assign neighbors = {n7, n6, n5, n4, n3, n2, n1, n0};
always @(neighbors or self) begin
count = 0;
for (i = 0; i < 8; i = i+1) count = count + neighbors[i];
out = (count == 3);
out = out | ((self == 1) & (count == 2));
end
endmodule
59. HDL vs lenguajes de programación
7: Combinacionales 59
Estructura de programa
instanciar múltiples componentes al mismo tiempo
especifican interconexión de módulos vía esquemática
jerarquía de módulos encapsulan bloques funcionales
Asignación
asignación continua (lógica siempre calcula)
retardos de propagación (computación toma tiempo)
efectos temporales de señales es importante
Estructuras de datos
tamaño explícitamente especificado - no hay estructuras
dinámicas
no hay punteros
Paralelismo
hardware es naturalmente paralelo
asignaciones pueden ocurrir en paralelo (no solo
secuencialmente)