SlideShare una empresa de Scribd logo
1 de 49
Descargar para leer sin conexión
MÉTODOS ALGEBRAICOS PARA EL ANÁLISIS Y
       SÍNTESIS DE CIRCUITOS LÓGICOS




                 Profesor Jorge Gianotti Hidalgo
               Departamento de Ingeniería Eléctrica
                   Universidad de Antofagasta
                              2007
Sistemas Digitales                                    1
Fundamentos de Algebra Booleana (1)
    Postulados Básicos
•   Postulado 1 (Definición): Un álgebra booleana es un sistema
    algebraico cerrado formado por un conjunto K de dos o más
    elementos y los dos operadores · y +.
•   Postulado 2 (Existencia de los elementos 1 y 0):
    (a) a + 0 = a        (identidad para +)
    (b) a · 1 = a        (identidad para ·)
•   Postulado 3 (Commutatividad):
    (a) a + b = b + a,                   (b) a · b = b · a
•   Postulado 4 (Associatividad):
    (a) a + (b + c) = (a + b) + c        (b) a· (b·c) = (a·b) ·c
•   Postulado 5 (Distributividad):
    (a) a + (b·c) = (a + b) ·(a + c)     (b) a· (b + c) = a·b + a·c
•   Postulado 6 (Existencia del complemento):
     (a) a + a = 1                       (b) a • a = 0
•   Normalmente · es omitido

    Sistemas Digitales                                         2
Fundamentos de Algebra Booleana (2)
  Teoremas Fundamentales del Algebra Booleana

• Teorema 1 (Idempotencia):
  (a) a + a = a                 (b) aa = a
• Teorema 2 (Elementos neutros para operadores + y .):
  (a) a + 1 = 1                 (b) a0 = 0
• Teorema 3 (Involucion)
    a = a
• Propiedades de los 0 y 1

                                  Tabla 2.1

   OR                     AND                 Complemento
  a+0=a                  a0 = 0                 0' = 1
  a+1=1                  a1 = a                 1' = 0


  Sistemas Digitales                                        3
Fundamentos de Algebra Booleana (3)

• Teorema 4 (Absorción)
  (a) a + ab = a                     (b) a(a + b) = a

• Ejemplos:
   – (X + Y) + (X + Y)Z = X + Y              [T4(a)]
   – AB'(AB' + B'C) = AB'                    [T4(b)]

• Teorema 5
  (a) a + a'b = a + b         (b) a(a' + b) = ab

• Ejemplos:
   – B + AB'C'D = B + AC'D                   [T5(a)]
   – (X + Y)((X + Y)' + Z) = (X + Y)Z        [T5(b)]




  Sistemas Digitales                                    4
Fundamentos de Algebra Booleana (4)


• Teorema 6
  (a) ab + ab' = a                               (b) (a + b)(a + b') = a

• Ejemplos:
   Simplificar : ABC + AB'C = AC                                           [T6(a)]

      Simplificar : (W' + X' + Y' + Z')(W' + X' + Y' + Z)
                    (W' + X' + Y + Z')(W' + X' + Y + Z)

            =    (W'   +   X' + Y')(W' + X' + Y + Z')(W' + X' + Y + Z)     [T6(b)]
            =    (W'   +   X' + Y')(W' + X' + Y)                           [T6(b)]
            =    (W'   +   X')                                             [T6(b)]




  Sistemas Digitales                                                          5
Fundamentos de Algebra Booleana (5)


• Teorema 7
  (a) ab + ab'c = ab + ac
  (b) (a + b)(a + b' + c) = (a + b)(a + c)

• Ejemplos:
   wy' + wx'y + wxyz + wxz' =      wy' + wx'y + wxy + wxz'   [T7(a)]
                            =      wy' + wy + wxz'           [T6(a)]
                            =      w + wxz‘                  [T6(a)]
                            =      w                         [T4(a)]

    (x'y' + z)(w + x'y' + z') = (x'y' + z)(w + x'y')         [T7(b)]




  Sistemas Digitales                                             6
Fundamentos de Algebra Booleana (6)
• Teorema 8 (Teorema de DeMorgan)
  (a) (a + b)' = a'b'
  (b) (ab)' = a' + b'

• Teorema Generalizado de DeMorgan
  (a) (a + b + … z)' = a'b' … z'
  (b) (ab … z)' = a' + b' + … z'

• Ejemplos:
   (a + bc)' =        (a + (bc))'
             =        a'(bc)'
             =        a'(b' + c')
             =        a'b' + a'c'

   Nota: (a + bc)' ≠ a'b' + c'

 Sistemas Digitales                                  7
Fundamentos de Algebra Booleana (7)
• Ejemplos del teorema de DeMorgan

  (a(b + z(x + a')))'   =   a'   +   (b + z(x + a'))'      [T8(b)]
                        =   a'   +   b' (z(x + a'))'       [T8(a)]
                        =   a'   +   b' (z' + (x + a')')   [T8(b)]
                        =   a'   +   b' (z' + x'(a')')     [T8(a)]
                        =   a'   +   b' (z' + x'a)         [T3]
                        =   a'   +   b' (z' + x')          [T5(a)]

    (a(b + c) + a'b)'   = (ab + ac + a'b)'
                        = (b + ac)'                        [T6(a)]
                        = b'(ac)'                          [T8(a)]
                        = b'(a' + c')                      [T8(b)]




 Sistemas Digitales                                                  8
Fundamentos de Algebra Booleana (8)

• Teorema 9 (Consenso)
  (a) ab + a'c + bc = ab + a'c
  (b) (a + b)(a' + c)(b + c) = (a + b)(a' + c)

• Examples:
   AB + A'CD + BCD = AB + A'CD                                  [T9(a)]

    (a + b')(a' + c)(b' + c) = (a + b')(a' + c)                 [T9(b)]

    ABC + A'D + B'D + CD        =   ABC   +   (A' + B')D + CD
                                =   ABC   +   (AB)'D + CD       [T8(b)]
                                =   ABC   +   (AB)'D            [T9(a)]
                                =   ABC   +   (A' + B')D        [T8(b)]
                                =   ABC   +   A' D + B ' D



  Sistemas Digitales                                                9
Formas algebraicas de funciones de conmutación (1)

• Literal: Una variable, complementada o sin complementar.
• Término Producto: Un literal o literales unidos por una operación
  AND.
• Término Suma: Un literal o literales unidos por una operación OR



•   SOP (Suma de Productos):
•   OR de términos producto
•   f(A, B, C) = ABC + A'C + B'C



•   POS (Producto of Sumas)
•   AND de términos suma
•   f (A, B, C) = (A' + B' + C')(A + C')(B + C')


    Sistemas Digitales                                         10
Formas algebraicas de funciones de conmutación (2)
• Un Mintérminos (minterm) es un término producto en que todas
  las variables aparecen exactamente una vez ya sea complementadas
  o sin complementar.
• Suma Canónica de Productos (canonica SOP):
   – Representada como una suma de solo Mintérminos.
   – Ejemplo : f1(A,B,C) = A'BC' + ABC' + A'BC + ABC       (2.1)
• Mintérminos de tres variables:


                       Mintérminos     Código      Número de
                                     Mintérmimos   Mintérminos
                         A'B'C'          000           m0
                         A'B'C           001           m1
                         A'BC'           010           m2
                         A'BC            011           m3
                         AB'C'           100           m4
                         AB'C            101           m5
                         ABC'            110           m6
                         A BC            111           m7
  Sistemas Digitales                                              11
Formas algebraicas de funciones de conmutación (3)
•   Forma compacta de una forma canónica SOP:
     f1(A,B,C) = m2 + m3 + m6 + m7                                                   (2.2)
•   Una manera más simplificada de la forma es:
     f1(A,B,C) = Σ m (2,3,6,7) (forma de lista de mintérminos)                       (2.3)
•   El orden de las variables en la notación de la función notation es importante.
•   Deduciendo la table de verdad de f1(A,B,C) desde la lista de mintérminos:

        Fila Nº Entradas         Salidas              Complemento
           ( i)  ABC     f1(A,B,C)= Σm(2,3,6,7) f1'(A,B,C)= Σm(0,1,4,5)
           0      000       0                       1 ←     m0
           1      001       0                       1 ←     m1
           2      010       1 ←      m2             0
           3      011       1 ←      m3             0
           4      100       0                       1 ←     m4
           5      101       0                       1 ←     m5
           6      110       1 ←      m6             0
           7      111       1 ←      m7             0
    Sistemas Digitales                                                               12
Formas algebraicas de funciones de conmutación (4)
•   Ejemplo:
    Dado f(A,B,Q,Z) = A'B'Q'Z' + A'B'Q'Z + A'BQZ' + A'BQZ, expresar las funciones
    f(A,B,Q,Z) and f '(A,B,Q,Z) en forma de lista de mintérminos.

      f(A,B,Q,Z)         = A'B'Q'Z' + A'B'Q'Z + A'BQZ' + A'BQZ
                         = m 0 + m1 + m6 + m7
                         = Σ m(0, 1, 6, 7)

      f '(A,B,Q,Z)       = m2 + m3 + m4 + m5 + m8 + m9 + m10 + m11 + m12
                           + m13 + m14 + m15
                         = Σ m(2, 3, 4, 5, 8, 9, 10, 11, 12, 13, 14, 15)
                          2 n −1
•                         ∑m
                          i =0
                                   i   =1                                  (2.6)

•   AB + (AB)' = 1 y AB + A' + B' = 1, mientras que AB + A'B' ≠ 1.
•   La suma (OR) de todos los mintérminos de “n” variables es igual a 1.

    Sistemas Digitales                                                     13
Formas algebraicas de funciones de conmutación (5)
•   Un maxtérmino es una suma de términos en el cual todas las variables aparecen
    exactamente una vez ya sea complementas o sin complemento.
•   Forma Canónica de Productos de Sumas (canónicas POS):
     – Representada sólo como un producto of maxtérminos.
     – Ejemplo:
         f2(A,B,C) = (A+B+C)(A+B+C')(A'+B+C)(A'+B+C')                      (2.7)
•   Maxtérminos de tres variables:
                        variables

                         Maxtérmino   Código del      Lista de
                                      Maxtérmino     Maxtérmino
                          A+B+C          000            M0
                          A+B+C'         001            M1
                          A+B'+C         010            M2
                          A+B'+C'        011            M3
                          A'+B+C         100            M4
                          A'+B+C'        101            M5
                          A'+B'+C        110            M6
                          A'+B'+C'       111            M7

    Sistemas Digitales                                                    14
Formas algebraicas de funciones de conmutación (6)
•   f2(A,B,C) = M0M1M4M5                                                (2.8)
              = ΠM(0,1,4,5) (forma de lista de maxtérminos)             (2.9)

•   La tabla de verdad para fγ(A,B,C):


             Fila Nº Entradas   M0    M1     M4      M5       Salidas
               (i)     ABC    A+B+C A+B+C' A'+B+C A'+B+C' f2 (A,B,C)
                0      000      0     1       1      1           0
                1      001      1     0       1      1           0
                2      010      1     1       1      1           1
                3      011      1     1       1      1           1
                4      100      1     1       0      1           0
                5      101      1     1       1      0           0
                6      110      1     1       1      1           1
                7      111      1     1       1      1           1



    Sistemas Digitales                                                  15
Formas algebraicas de funciones de conmutación (9)
•   Ejemplo: Determinar la relación entre los maxtérminos para la función y su
    complemento.
     – Para f(A,B,C) = ( A+B+C ')(A+B'+C ')(A'+B+C ')(A'+B'+C ')
     – La tabla de verdad es:



            Fila Nº      Entradas    Salidas             Salidas
               ( i)        AB C     f (A,B,C)   f '(A,B,C)= Π M(0,2,4,6)
               0           000          1       0         ←   M0
               1           001          0       1
               2           010          1       0         ←    M2
               3           011          0       1
               4           100          1       0         ←    M4
               5           101          0       1
               6           110          1       0         ←    M6
               7           111          0       1

    Sistemas Digitales                                                     16
Funciones con especificación incompleta


•    Con frecuencia ocurre que la función de conmutación no tiene especificación
     completa.

•    Algunos mintérminos o maxtérminos son omitidos y son llamados
     mintérminos o maxtérminos prescindibles (don’t care).

•    Prescindibles significa que:
      – Ciertas combinaciones de entradas nunca ocurren.
      – Se necesitan que las salida sea 1 o o para ciertas combinaciones.

•    Mintérminos prescindibles: di        Maxtérminos prescindibles: Di




Sistemas Digitales                                                            17
Funciones con especificación incompleta
•   Ejemplo:
    Sea f(A,B,C) una función con mintérminos m0, m3, y m7 y condiciones prescindibles
    d4 and d5. Expresar la función y su complemento con mintérminos y con
    maxtérminos; reducir después la función a su forma más sencilla.
•   Solución:
     – La forma de lista de Mintérminos para esta función es:
                                  f(A,B,C) = Σm(0,3,7) + d(4,5)
     – y la lista de Maxtérminos es:
                                   (A,B,C) = ΠM(1,2,6)·D(4,5)
        Observe que los maxtérminos prescindibles Di son sencillamente los mintérminos
        prescindibles, ya que los términos pueden ser 1 o 0. De aquí que:
                      f '(A,B,C) = Σm(1,2,6) + d(4,5) = ΠM(0,3,7)·D(4,5)
        Para simplificar la expresión f(A,B,C), enumeramos los términos como:
                     f (A,B,C)= A'B'C ' + A'BC + ABC + d(AB'C ' + AB'C)
        Ahora bien mediante los teoremas del àlgebra boolena y considerando que los
        términos prescindibles pueden ser utilizados u omitidos, según ayuden o no en la
        simplificación. En este caso se omite el uso de d5 y el resultado se convierte en:
                                            f(A,B,C) = B'C ' + BC

    Sistemas Digitales                                                              18
Circuitos de Conmutación
                         Compuertas Lógicas Electrónicas (1)

•   Señales eléctricas y valores lógicos

            Señal                              Valor Lógico
          Eléctrica               Lógica Posit iva     Lógica Negativa
       Voltaje Alto(H)                  1                    0
       Voltaje Bajo (L)                 0                    1

      – Una señal puesta a valor lógico 1, se dice que es activa o verdadera.
      – Una señal alta activa se afirma cuando es alta (en lógica positiva).
      – Una señal baja activa se afirma cuando es baja (en lògica negativa).




    Sistemas Digitales                                                          19
Compuertas Lógicas Electrónicas (2)


                 a                                         a   &
        AND                    f(a, b) =ab           AND            f(a, b) =ab
                 b                                         b
                                                               ³
                 a                                         a   1
          OR                   f(a, b) =a + b         OR            f(a, b) =a + b
                 b                                         b
                                                           a   1
        NOT a                  f(a) =a               NOT            f(a) =a
                                                           b
                 a                                         a   &
      NAND                     f(a, b) =ab          NAND            f(a, b) =ab
                 b                                         b
                                                               ³
                 a                                         a   1
        NOR                    f(a, b) =a + b        NOR            f(a, b) =a + b
                 b                                         b
        a                      f(a, b) =a ⊕ b            a
EXCLUSIVE                                        EXCLUSIVE     =1   f(a, b) =a ⊕ b
OR      b                                        OR      b

                     Symbol set 1                     Symbol set 2
                                                (ANSI/IEEE Standard 91-1984)


Sistemas Digitales                                                                   20
Compuertas Lógicas Electrónicas (3)
           Vcc       4B   4A       4Y    3B    3A   3Y    Vcc   4Y   4B    4A    3Y    3B    3A
           14        13   12       11    10     9    8    14    13   12    11    10     9     8




            1         2    3        4     5     6    7     1     2    3     4     5     6    7
           1A        1B   1Y       2A    2B    2Y   GND   1Y    1A   1B    2Y    2A    2B   GND
                           7400: = AB
                                 Y                                    7402: = A + B
                                                                           Y
                     Quadruple two-input NAND gates              Quadruple two-input NOR gates


           Vcc       6A   6Y       5A    5Y    4A   4Y    Vcc   4B   4A    4Y    3B    3A    3Y
           14        13   12       11    10     9    8    14    13   12    11    10     9     8




            1         2    3        4     5     6    7     1     2    3     4     5     6    7
           1A        1Y   2A       2Y    3A    3Y   GND   1A    1B   1Y    2A    2B    2Y   GND
                               7404: = A
                                    Y                                  7408: = AB
                                                                            Y
                               Hex inverters                     Quadruple two-input AND gates



Sistemas Digitales                                                                                21
Compuertas Lógicas Electrónicas (4)



Vcc     1C       1Y   3C    3B    3A        3Y   Vcc   2D     2C    NC    2B    2A       2Y
14      13       12   11    10     9        8    14    13      12    11    10    9        8




1       2        3    4      5     6        7    1     2       3     4     5     6        7
1A      1B       2A   2B    2C    2Y    GND      1A    1B     NC    1C    1D    1Y   GND
                  7410: = ABC
                       Y                                        7420: = ABCD
                                                                     Y
            Triple three-input NAND gates                   Dual four-input NAND gates




Sistemas Digitales                                                                       22
Compuertas Lógicas Electrónicas (5)

            Vcc      NC    H     G    NC    NC      Y           Vcc   4B      4A     4Y    3B    3A       3Y
             14      13    12   11    10       9    8           14    13      12     11    10    9        8




             1       2     3     4    5        6    7            1     2       3     4     5     6        7
             A       B     C     D    E        F    GND         1A    1B      1Y     2A    2B    2Y   GND
                          7430: = ABCDEFGH
                              Y                                                7432: = A + B
                                                                                    Y
                           8-input NAND gate                               Quadruple two-input OR gates



                                      Vcc      4B    4A   4Y    3B    3A      3Y
                                       14      13    12   11    10    9        8




                                       1       2     3    4     5     6        7
                                       1A      1B    1Y   2A    2B    2Y     GND
                                                    7486: = A Å B
                                                        Y
                                            Quadruple two-input exclusive-OR gates



Sistemas Digitales                                                                                             23
Componentes funcionales básicos (1)

•   AND


                                                             A
        a b              fAND(a, b) =ab      A B Y                         Y
                                                             B
        0    0                0              L L      L              (c)
        0    1                0              L H      L
        1    0                0              HL       L      A        &    Y
        1    1                1              HH       H      B
                            (a)                 (b)                  (d)


      (a) Función lógica AND.
      (b) Compuerta AND electrónica.
      (c) Símbolo estándar.
      (d) Bloque estándar IEEE.

    Sistemas Digitales                                                         24
Componentes funcionales básicos (2)

•   OR


                                                         A
        a b fOR(a, b) =a + b             A B Y                         Y
                                                         B
        0    0            0              L L      L              (c)
        0    1            1              L H      H
        1    0            1              HL       H      A       ≥1    Y
        1    1            1              HH       H      B
                         (a)                (b)                  (d)

      (a) Función lógica OR.
      (b) Compuerta OR electrónica.
      (c) Símbolo estándar.
      (d) Bloque estándar IEEE.


    Sistemas Digitales                                                     25
Componentes funcionales básicos (4)


•   NOT


                                                          A              Y
                                                                 (c)
         a         fNOT =a
                       (a)                   A Y
        0                  1                 L H          A          1   Y
        1                  0                 H L
                         (a)                  (b)                (d)


      (a) Función lógica NOT.
      (b) Compuerta NOT electrónica.
      (c) Símbolo estándar.
      (d) Bloque estándar IEEE.


    Sistemas Digitales                                                       26
Componentes funcionales básicos (5)




•    Lógica Positiva Versus Negativa


                              Lógica Posit iva      Lógica Negat iva
 1 se representa con           Voltaje Alto          Voltaje Bajo
 0 se representa con           Voltaje Bajo          Voltaje Alto




Sistemas Digitales                                                     27
Componentes funcionales básicos (15)

•   OR exclusivo (XOR)
     – fXOR(a, b) = a ⊕ b =      a b + ab                             (2.24)

                   ab       fXOR(a, b) = a ⊕ b      AB       Y
                   00                0              LL       L
                   01                1              LH       H
                   10                1              HL       H
                   11                0              HH       L

              (a) XOR logic function (b) Electronic XOR gate

                A                           A
                                      Y                =1         Y
                B                           B



               (c) Standard symbol   (d) IEEE block symbol
    Sistemas Digitales                                                         28
Componentes funcionales básicos (16)

•   POS de XOR
     a ⊕ b = a b + ab
                 = a a + a b + ab + bb
                 = a ( a + b) + b ( a + b)
                 = (a + b )(a + b)
•   Algunas relaciones útiles
     – a⊕a=0                                                         (2.25)
     – a⊕ a =1                                                       (2.26)
     – a⊕0=a                                                         (2.27)
     – a⊕1=a                                                         (2.28)
     – a ⊕b = a⊕b                                                    (2.29)
     – a⊕b=b⊕a                                                       (2.30)
     – a ⊕ (b ⊕ c) = (a ⊕ b) ⊕ c                                     (2.31)



    Sistemas Digitales                                                        29
Componentes funcionales básicos (18)

•   NOR exclusivo (XNOR)

      – fXNOR(a, b) = a ⊕ b = a        b                              (2.32)

                                                         A
                  a b fXNOR b) =a b
                          (a,               AB Y                           Y
                                                         B
                  0      0         1        LL H                (c)
                  0      1         0        LH L
                  1      0         0        HL L         A      =1
                  1      1         1        HH H                               Y
                                                         B
                             (a)             (b)
                                                                (d)

      (a) Función lógica NOR exclusivo (XNOR).
      (b) Compuerta XNOR electrónica.
      (c) Símbolo estándar.
      (d) Bloque estándar IEEE.

    Sistemas Digitales                                                             30
Análisis de circuitos combinatorios (1)

•   Diseño de Circuitos Digitales:
     – Descripcion verbal de una función
         ⇒ Conjunto de ecuaciones de conmutación
         ⇒ Realización del hardware (compuertas, dispositivos lógicos programables
           PLD, etc.)

•   Análisis de Circuitos Digitales:
     – Realización del hardware
         ⇒ Expresiones de conmutación, tablas de verdad, diagramas de tiempo, etc.

•   El análisis se usa para:
     – Determinar la conducta del circuito
     – Verificar que el circuito cumpla con las especificaciones
     – Apoyo para convertir el circuito a una forma diferente ya sea mediante una
        minimización del número de compuertas o su realización con diferentes
        elementos.



    Sistemas Digitales                                                          31
Análisis de circuitos combinatorios (4)

•   Ejemplo : Determinar una expresión de conmutación y un circuito simplificado para
    la red de la siguiente figura:


     a                   a   b
     b
                                      (a   b
                                           )(b c)

     b
     c                   b   c
                                                    f (a, b, c)

     a                   a +b
     b

     a                               a +b +a +c
     c                   a +c
                             Given circuit


    Sistemas Digitales                                                          32
Análisis de circuitos combinatorios (5)

•   Determine la expresión de salida:

      f(a,b,c)
          =              ( a ⊕ b)(b ⊕ c) ⋅ (a + b + a + c)
          =              ( a ⊕ b)(b ⊕ c) + a + b + a + c)
          =              (a ⊕ b)(b ⊕ c) + (a + b )(a + c)
          =              (ab + a b)(bc + b c) + (a + b )(a + c)
          =              ab bc + ab b c + a bbc + a bb c + a a + a c + ab + b c
          =              a b c + a bc + a c + ab + b c
          =              a bc + a c + ab + b c                    a
          =              a bc + a c + ab                          c
                                                                                             f (a, b, c)
          =              a b + a c + ab
          =              ac + a ⊕ b                               a
                                                                  b
                                                                           Simplified circuit
                                                                           Circuito Simplificado


    Sistemas Digitales                                                                                     33
Análisis de circuitos combinatorios (7)



•    Análisis de diagramas de tiempos.

       – Diagrama de Tiempos es una representación gráfica de las relaciones entre
         las señales de entrada y salida de una red de conmutación relativas a la
         dimensión del tiempo.
                        tiempo



       – Los Diagramas de Tiempos muestran con freceuncia, señales intermedias,
         como los retardos de propagación introducidos por las compuertas y otros
         elementos del circuito.




Sistemas Digitales                                                              34
Análisis de circuitos combinatorios (8)

•   Ejemplo : Determinar la tabla de verdad a partir del Diagrama de Tiempos del
    circuito.

                                                                                  A
                         A
                         B                      Y = fa (A, B, C)                  B

                                                                             C
                                                                        Inputs
                                                                        Outputs

                                                Z = fb (A, B, C) Y = fa (A, B, C)

                                                                   Z = fb (A, B, C)
                         C
                                                                                      t0    t1      t2     t3   t4   t5   t6   t7
                                    (a)
                                                                                                     (b)




                                                    Inputs                    Outputs
                                          Time       ABC            fa(A, B, C)       fb(A, B, C)
                                           t0        000                 0                 0
                                           t1        001                 1                 1
                                           t2        010                 1                 0
                                           t3        011                 0                 1
                                           t4        100                 0                 0
                                           t5        101                 0                 1
                                           t6        110                 1                 1
                                           t7        111                 1                 0
                                                                      (c)

    Sistemas Digitales                                                                                                         35
Análisis de circuitos combinatorios (9)

•   Retardo por Propagación
     – Se deben considerar las características físicas del circuito lógico, tales como:
         • Retardos por Propagación.
         • Restricciones de fan-in y fan-out de las compuertas.
         • Consumo de energía.
         • Tamaño y peso.

      – Retardos por Propagación : Retardo entre el instante de cambio de la entrada y el
        cambio correspondiente en la salida.
      – Parámetros típicos del retardo de propagación:
             • tPLH = tiempo de retardo por propagación, con salida de nivel bajo a alto.
             • tPHL = tiempo de retardo por propagación, con salida de nivel alto a bajo.
      – Aproximación del tiempo de retardo por propagación:

                                          t PLH + t PHL
                                 t PD   =
                                                2
    Sistemas Digitales                                                                  36
Análisis de circuitos combinatorios (10)

•   Retardo por propagación a través de una compuerta lógica.



                                                        a

                                                        b
                         a
                                           c
                         b                              c

                   (a) Two-input AND gate                       (b) Ideal (zero) delay


      a                                                 a

      b                                                 b

       c                                                c
                             tPD          tPD                        tPLH      tPHL
                         (c)tPD = tPLH= tPHL                       (d)tPLH< tPHL

    Sistemas Digitales                                                                   37
Análisis de circuitos combinatorios (11)

•   Disipación de potencia y retardo por propagación para varias familias lógicas.


 Familia                 Ret. por Propag.   Disipació n de Pot.
  lógica                      tPD(ns)       x co mpuerta (mW)            Tecno logía
7400                            10                  10            Standard TTL
74H 00                           6                  22            High-speed TTL
74L00                           33                   1            Low-power TTL
74LS00                          9. 5                 2            Low-power Schottky TTL
74S 00                           3                  19            Schottky TTL
74ALS00                         3. 5               1. 3           Advanced low-power
                                                                  Schottky TTL
74AS00                          3                    8            Advanced Schottky TTL
74HC00                          8                  0. 17          High-speed CMOS




    Sistemas Digitales                                                               38
Análisis de circuitos combinatorios (12)

•        Retardo por propagación de compuertas primitivas de la serie 74LS


                                               tPLH (nseg)                 tPHL (nseg)
           Chip               Funct ion   Typical     Maximum        T ypical Maximum
         74LS04                   NOT        9             15           10             15
         74LS00                 NAND         9             15           10             15
         74LS02                   NOR       10             15           10             15
         74LS08                   AND        8             15           10             20
    22
         74LS32                      OR     14             22           14             22




         Sistemas Digitales                                                                 39
Síntesis de circuitos lógicos combinatorios (10)


•   Ejemplo : deducir las ecuaciones lógicas para un circuito que sume los dos números
    binarios de 2 bits (A1A0)2 y (B1B0)2, y produzca los bits de suma (S1S0)2 y el bit de
    acarreo de salida C1; es decir,

                                                  A1 A0
                                             +    B1B0

                                                 C1 S1 S0

      Solución:
      Se tiene cuatro entradas A1, A0, B1 y B0 y tres salidas C1, S1 y S0, la tabla de verdad
      es entonces la que se muestra a continuación:




    Sistemas Digitales                                                                 40
Síntesis de circuitos lógicos combinatorios (11)


             Tabla de Verdad                              Ecuaciones lógicas
  A1      A0 B1 B0          C1   S1   S0         S0 = A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0
   0       0    0     0      0    0    0
   0       0    0     1      0    0    1
                                                      + A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0
   0       0    1     0      0    1    0              + A1 A0 B1B0 + A1 A0 B1B0
   0       0    1     1      0    1    1
   0       1    0     0      0    0    1
   0       1    0     1      0    1    0         S1 = A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0
   0       1    1     0      0    1    1
                                                      + A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0
   0       1    1     1      1    0    0
   1       0    0     0      0    1    0              + A1 A0 B1B0 + A1 A0 B1B0
   1       0    0     1      0    1    1
   1       0    1     0      1    0    0
   1       0    1     1      1    0    1         C1 = A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0
   1       1    0     0      0    1    1               + A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0
   1       1    0     1      1    0    0
   1       1    1     0      1    0    1
   1       1    1     1      1    1    0



Sistemas Digitales                                                                           41
Síntesis de circuitos lógicos combinatorios (12)


•   Ecuaciones reducidas :

      S0 = A0 B0 + A0 + B0

      S1 = A1 A0 B1 + A1 B1 B0 + A1 A0 B1 B0 + A1 A0 B1 B0 + A1 B1 B0 + A1 A0 B1

      C1 = A0 B1B0 + A1 A0 B0 + A1B1




    Sistemas Digitales                                                             42
Síntesis de circuitos lógicos combinatorios (13)

•   Modelo de comportamiento de un circuito Sumador Completo (Full Adder).
     (a) Diagrama de bloque, (b) Table de verdad, (c) Ecuaciones lógicas


                 a        b        cin
                                          a b     cin cout   s
                                          0   0   0     0    0
                                          0   0   1     0    1   s =a   b     c
               Full_adder                 0   1   0     0    1                 in

                                          0   1   1     1    0   cout= ab+ ac + bc
                                                                            in   in
                                          1   0   0     0    1
                                          1   0   1     1    0              (c)
                                          1   1   0     1    0
       cout                    s          1   1   1     1    1
                         (a)                      (b)

    Sistemas Digitales                                                                43
Síntesis de circuitos lógicos combinatorios (14)
•   Modelo de modo mixto para el circuito de Sumador Completo.
     – Módulo de bits Suma (S) y de Acarreo Cout).
     – (a) Diagrama en bloque del Sumador Completo, (b) Circuito para la función
       Suma y Acarreo, (c) Tabla de Verdad.

                                                             a b          cin   cout
                   a
                   b                   Sum           s       0       0   0      0
                  cin                 module                 0       0   1      0
                                                             0       1   0      0
                                                             0       1   1      1
                                                             1       0   0      0
                                      Carry                  1       0   1      1
                                                     cout    1       1   0      1
                                      module
                                                             1       1   1      1

                                      (a)                                (c)

                                 a
                                 b                               s
                                cin

                                               (b)
    Sistemas Digitales                                                                 44
Síntesis de circuitos lógicos combinatorios (18)
•   Detección de un Riesgo Estático vía simulación.
     – Un error (glitch) puede ser detectado en la salida g en el tiempo t3 desde las
        formas de onda o diagrama de tiempo.
     – Esto ocurre, porque e y f llegan a ser 0 momentaneamente entre los instantes de
        tiempo t2 y t3.
                                             a


                                             b


                                             c


                                             d
                                             e



                                             f
            a                      e         g
            b                          g
                         d
             c                     f               Time               t        t        t
                                                                 t1       t2       t3       t4

                             (a)                               (b)
    Sistemas Digitales                                                                           45
Síntesis de circuitos lógicos combinatorios (19)

•   Modelos de retardo de dispositivos primitivos
     – Cada compuerta primitiva lógica tiene un retardo intrínsico.
     – Una compuerta puede ser modelada como una compuerta idea (retardo nulo) y un
       elemento de retardo por transporte.


                                    a            c*
                                                      t     c
                                    b
                                         Ideal     Time
                                         gate      delay
      – Modelos de retardo más comunes son:
         • Retardo unitario/nominal
         • Retardo por ascenso/descenso
         • Retardo Ambiguo o Min/Max



    Sistemas Digitales                                                       46
Síntesis de circuitos lógicos combinatorios (20)

•   Retardo Unitario/Nominal
     – Retardo unitario: se asigna a cada circuito de una compuerta el mismo retardo
        unitario.
     – Retardo nominal: son retardos por transporte determinados individualmente para
        cada tipo de compuerta (por ejemplo una unidad de tiempo de retardo para una
        compuerta NOR y dos para una compuerta XOR).


                         a


                         b


                         c

                                      t                t




    Sistemas Digitales                                                          47
Síntesis de circuitos lógicos combinatorios (21)

•    Retardo por ascenso/descenso
      – Retardo diferentes para transiciones de 0 to 1 y para transiciones de 1 to 0.
      – tPLH (tiempo de ascenso): retardo de propagación de estado bajo (L) a estado
         alto (H).
      – tPHL (tiempo de descenso): retardo de propagación de estado alto (H) a estado
         bajo (L).

                      a


                      b


                      c

                                  tPLH               tPHL
                               (rise time)        (fall time)


Sistemas Digitales                                                              48
Síntesis de circuitos lógicos combinatorios (22)

•   Retardo Ambiguo o Min/Max.
     – Algunas veces es imposible predecir el exacto instante de tiempo en que una
        señal puede ascender o descender.
     – Para el peor de los casos se especifica un rango de tiempo en que este puede
        ocurrir {tmin, tmax}.


                         a


                         b


                         c
                              tmin


                                      tmax




    Sistemas Digitales                                                        49

Más contenido relacionado

La actualidad más candente (18)

Tips. examen
Tips. examenTips. examen
Tips. examen
 
Formulario de calculo diferencial
Formulario de calculo diferencialFormulario de calculo diferencial
Formulario de calculo diferencial
 
Aplicacion de la integral
Aplicacion de la integralAplicacion de la integral
Aplicacion de la integral
 
Electrónica digital
Electrónica digitalElectrónica digital
Electrónica digital
 
Matemática I - Derivadas (Aplicaciones)
Matemática I - Derivadas (Aplicaciones)Matemática I - Derivadas (Aplicaciones)
Matemática I - Derivadas (Aplicaciones)
 
Solucionario mt 054 2011 75 preguntas ok
Solucionario mt 054 2011 75 preguntas okSolucionario mt 054 2011 75 preguntas ok
Solucionario mt 054 2011 75 preguntas ok
 
Matematica funciones
Matematica   funcionesMatematica   funciones
Matematica funciones
 
Derivadas e integrales
Derivadas e integralesDerivadas e integrales
Derivadas e integrales
 
Pruebe sus conocimientos
Pruebe sus conocimientosPruebe sus conocimientos
Pruebe sus conocimientos
 
Factorizacion
FactorizacionFactorizacion
Factorizacion
 
07 Integrales indefinidas
07 Integrales indefinidas07 Integrales indefinidas
07 Integrales indefinidas
 
Formulario De Integrales
Formulario De IntegralesFormulario De Integrales
Formulario De Integrales
 
Bloque 2
Bloque 2Bloque 2
Bloque 2
 
Bloque4a funciones variasvariables
Bloque4a funciones variasvariablesBloque4a funciones variasvariables
Bloque4a funciones variasvariables
 
Problemario funciones
Problemario funcionesProblemario funciones
Problemario funciones
 
Ejercicios cal-integral-2013
Ejercicios cal-integral-2013Ejercicios cal-integral-2013
Ejercicios cal-integral-2013
 
Problemas de matematicas
Problemas de matematicasProblemas de matematicas
Problemas de matematicas
 
Al pr 02
Al pr 02Al pr 02
Al pr 02
 

Similar a Capitulo II Métodos Algebraicos para el Análisis y Síntesis de Circuitos Lógicos

1 numeros reales operaciones
1 numeros reales operaciones1 numeros reales operaciones
1 numeros reales operacionesPMCI
 
Algebra de boole ingeniería electrónica .pptx
Algebra de boole ingeniería electrónica .pptxAlgebra de boole ingeniería electrónica .pptx
Algebra de boole ingeniería electrónica .pptxKeilaMndez
 
Presentacion de grafos juan velasquez 23770791
Presentacion de grafos juan velasquez 23770791Presentacion de grafos juan velasquez 23770791
Presentacion de grafos juan velasquez 23770791Canache123
 
Lagebra de boole y circuitos digitales
Lagebra de boole y circuitos digitalesLagebra de boole y circuitos digitales
Lagebra de boole y circuitos digitalesgualbertoyrayda
 
Simplificación de funciones aplicando el Álgebra de Boole
Simplificación de funciones aplicando el Álgebra de BooleSimplificación de funciones aplicando el Álgebra de Boole
Simplificación de funciones aplicando el Álgebra de BooleDanilo Vivenes
 
Ejercicio de simplificacion anwar jouhari
Ejercicio de simplificacion anwar jouhariEjercicio de simplificacion anwar jouhari
Ejercicio de simplificacion anwar jouhariAnwar Jouhari
 
Cuatro operaciones(adición y sustracción)
Cuatro operaciones(adición y sustracción)Cuatro operaciones(adición y sustracción)
Cuatro operaciones(adición y sustracción)JENNER HUAMAN
 
Examen admision 2_do_grado
Examen admision 2_do_gradoExamen admision 2_do_grado
Examen admision 2_do_gradoMaritza Chilet
 
Productos Notables I
Productos Notables IProductos Notables I
Productos Notables ICesar-91
 
175677700 aritm-5º-numeracion-pdf
175677700 aritm-5º-numeracion-pdf175677700 aritm-5º-numeracion-pdf
175677700 aritm-5º-numeracion-pdfHenry Araujo Salas
 
PRODUCTOS NOTABLES I
PRODUCTOS NOTABLES IPRODUCTOS NOTABLES I
PRODUCTOS NOTABLES ICesar-91
 
Electrónica: circuitos combinacionales
Electrónica: circuitos combinacionalesElectrónica: circuitos combinacionales
Electrónica: circuitos combinacionalesMoisés Pérez Delgado
 

Similar a Capitulo II Métodos Algebraicos para el Análisis y Síntesis de Circuitos Lógicos (20)

Algebra De Boole
Algebra De BooleAlgebra De Boole
Algebra De Boole
 
1 numeros reales operaciones
1 numeros reales operaciones1 numeros reales operaciones
1 numeros reales operaciones
 
Algebra de boole ingeniería electrónica .pptx
Algebra de boole ingeniería electrónica .pptxAlgebra de boole ingeniería electrónica .pptx
Algebra de boole ingeniería electrónica .pptx
 
Productos notables
Productos notablesProductos notables
Productos notables
 
Productos Notables
Productos NotablesProductos Notables
Productos Notables
 
Presentacion de grafos juan velasquez 23770791
Presentacion de grafos juan velasquez 23770791Presentacion de grafos juan velasquez 23770791
Presentacion de grafos juan velasquez 23770791
 
Lagebra de boole y circuitos digitales
Lagebra de boole y circuitos digitalesLagebra de boole y circuitos digitales
Lagebra de boole y circuitos digitales
 
Algebra I
Algebra IAlgebra I
Algebra I
 
Simplificación de funciones aplicando el Álgebra de Boole
Simplificación de funciones aplicando el Álgebra de BooleSimplificación de funciones aplicando el Álgebra de Boole
Simplificación de funciones aplicando el Álgebra de Boole
 
Ejercicio de simplificacion anwar jouhari
Ejercicio de simplificacion anwar jouhariEjercicio de simplificacion anwar jouhari
Ejercicio de simplificacion anwar jouhari
 
Repaso de algebra
Repaso de algebraRepaso de algebra
Repaso de algebra
 
Cuatro operaciones(adición y sustracción)
Cuatro operaciones(adición y sustracción)Cuatro operaciones(adición y sustracción)
Cuatro operaciones(adición y sustracción)
 
Examen admision 2_do_grado
Examen admision 2_do_gradoExamen admision 2_do_grado
Examen admision 2_do_grado
 
ALGEBRA TEORIA COMPLETA
ALGEBRA TEORIA COMPLETAALGEBRA TEORIA COMPLETA
ALGEBRA TEORIA COMPLETA
 
Productos Notables I
Productos Notables IProductos Notables I
Productos Notables I
 
Algebra
AlgebraAlgebra
Algebra
 
175677700 aritm-5º-numeracion-pdf
175677700 aritm-5º-numeracion-pdf175677700 aritm-5º-numeracion-pdf
175677700 aritm-5º-numeracion-pdf
 
1 1 1
1 1 11 1 1
1 1 1
 
PRODUCTOS NOTABLES I
PRODUCTOS NOTABLES IPRODUCTOS NOTABLES I
PRODUCTOS NOTABLES I
 
Electrónica: circuitos combinacionales
Electrónica: circuitos combinacionalesElectrónica: circuitos combinacionales
Electrónica: circuitos combinacionales
 

Más de Universidad de Antofagasta

Más de Universidad de Antofagasta (6)

Diodos 01
Diodos 01Diodos 01
Diodos 01
 
Cap 01 amplificador diferencial
Cap 01 amplificador diferencialCap 01 amplificador diferencial
Cap 01 amplificador diferencial
 
Cap 05 osciladores
Cap 05 osciladoresCap 05 osciladores
Cap 05 osciladores
 
Capitulo I Sistemas Númericos
Capitulo I  Sistemas NúmericosCapitulo I  Sistemas Númericos
Capitulo I Sistemas Númericos
 
Capitulo ii métodos algebraicos para el análisis y síntesis de circuitos ló...
Capitulo ii   métodos algebraicos para el análisis y síntesis de circuitos ló...Capitulo ii   métodos algebraicos para el análisis y síntesis de circuitos ló...
Capitulo ii métodos algebraicos para el análisis y síntesis de circuitos ló...
 
Sistemas Numéricos y Códigos Digitales
Sistemas Numéricos y Códigos DigitalesSistemas Numéricos y Códigos Digitales
Sistemas Numéricos y Códigos Digitales
 

Último

🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docxEliaHernndez7
 
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...JoseMartinMalpartida1
 
Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024IES Vicent Andres Estelles
 
Proyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdfProyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdfpatriciaines1993
 
Prueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESOPrueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESOluismii249
 
prostitución en España: una mirada integral!
prostitución en España: una mirada integral!prostitución en España: una mirada integral!
prostitución en España: una mirada integral!CatalinaAlfaroChryso
 
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.doc
SESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.docSESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.doc
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.docRodneyFrankCUADROSMI
 
La Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalLa Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalJonathanCovena1
 
6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primariaWilian24
 
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docxPLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docxiemerc2024
 
Prueba libre de Geografía para obtención título Bachillerato - 2024
Prueba libre de Geografía para obtención título Bachillerato - 2024Prueba libre de Geografía para obtención título Bachillerato - 2024
Prueba libre de Geografía para obtención título Bachillerato - 2024Juan Martín Martín
 
FUERZA Y MOVIMIENTO ciencias cuarto basico.ppt
FUERZA Y MOVIMIENTO ciencias cuarto basico.pptFUERZA Y MOVIMIENTO ciencias cuarto basico.ppt
FUERZA Y MOVIMIENTO ciencias cuarto basico.pptNancyMoreiraMora1
 
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Katherine Concepcion Gonzalez
 
activ4-bloque4 transversal doctorado.pdf
activ4-bloque4 transversal doctorado.pdfactiv4-bloque4 transversal doctorado.pdf
activ4-bloque4 transversal doctorado.pdfRosabel UA
 
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIASISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIAFabiolaGarcia751855
 

Último (20)

Supuestos_prácticos_funciones.docx
Supuestos_prácticos_funciones.docxSupuestos_prácticos_funciones.docx
Supuestos_prácticos_funciones.docx
 
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
 
Tema 11. Dinámica de la hidrosfera 2024
Tema 11.  Dinámica de la hidrosfera 2024Tema 11.  Dinámica de la hidrosfera 2024
Tema 11. Dinámica de la hidrosfera 2024
 
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
 
Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024
 
Proyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdfProyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdf
 
Sesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdfSesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdf
 
Prueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESOPrueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 4ºESO
 
prostitución en España: una mirada integral!
prostitución en España: una mirada integral!prostitución en España: una mirada integral!
prostitución en España: una mirada integral!
 
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.doc
SESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.docSESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.doc
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.doc
 
La Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalLa Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración Ambiental
 
6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria
 
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docxPLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
 
Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024
 
Power Point E. S.: Los dos testigos.pptx
Power Point E. S.: Los dos testigos.pptxPower Point E. S.: Los dos testigos.pptx
Power Point E. S.: Los dos testigos.pptx
 
Prueba libre de Geografía para obtención título Bachillerato - 2024
Prueba libre de Geografía para obtención título Bachillerato - 2024Prueba libre de Geografía para obtención título Bachillerato - 2024
Prueba libre de Geografía para obtención título Bachillerato - 2024
 
FUERZA Y MOVIMIENTO ciencias cuarto basico.ppt
FUERZA Y MOVIMIENTO ciencias cuarto basico.pptFUERZA Y MOVIMIENTO ciencias cuarto basico.ppt
FUERZA Y MOVIMIENTO ciencias cuarto basico.ppt
 
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
 
activ4-bloque4 transversal doctorado.pdf
activ4-bloque4 transversal doctorado.pdfactiv4-bloque4 transversal doctorado.pdf
activ4-bloque4 transversal doctorado.pdf
 
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIASISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
 

Capitulo II Métodos Algebraicos para el Análisis y Síntesis de Circuitos Lógicos

  • 1. MÉTODOS ALGEBRAICOS PARA EL ANÁLISIS Y SÍNTESIS DE CIRCUITOS LÓGICOS Profesor Jorge Gianotti Hidalgo Departamento de Ingeniería Eléctrica Universidad de Antofagasta 2007 Sistemas Digitales 1
  • 2. Fundamentos de Algebra Booleana (1) Postulados Básicos • Postulado 1 (Definición): Un álgebra booleana es un sistema algebraico cerrado formado por un conjunto K de dos o más elementos y los dos operadores · y +. • Postulado 2 (Existencia de los elementos 1 y 0): (a) a + 0 = a (identidad para +) (b) a · 1 = a (identidad para ·) • Postulado 3 (Commutatividad): (a) a + b = b + a, (b) a · b = b · a • Postulado 4 (Associatividad): (a) a + (b + c) = (a + b) + c (b) a· (b·c) = (a·b) ·c • Postulado 5 (Distributividad): (a) a + (b·c) = (a + b) ·(a + c) (b) a· (b + c) = a·b + a·c • Postulado 6 (Existencia del complemento): (a) a + a = 1 (b) a • a = 0 • Normalmente · es omitido Sistemas Digitales 2
  • 3. Fundamentos de Algebra Booleana (2) Teoremas Fundamentales del Algebra Booleana • Teorema 1 (Idempotencia): (a) a + a = a (b) aa = a • Teorema 2 (Elementos neutros para operadores + y .): (a) a + 1 = 1 (b) a0 = 0 • Teorema 3 (Involucion) a = a • Propiedades de los 0 y 1 Tabla 2.1 OR AND Complemento a+0=a a0 = 0 0' = 1 a+1=1 a1 = a 1' = 0 Sistemas Digitales 3
  • 4. Fundamentos de Algebra Booleana (3) • Teorema 4 (Absorción) (a) a + ab = a (b) a(a + b) = a • Ejemplos: – (X + Y) + (X + Y)Z = X + Y [T4(a)] – AB'(AB' + B'C) = AB' [T4(b)] • Teorema 5 (a) a + a'b = a + b (b) a(a' + b) = ab • Ejemplos: – B + AB'C'D = B + AC'D [T5(a)] – (X + Y)((X + Y)' + Z) = (X + Y)Z [T5(b)] Sistemas Digitales 4
  • 5. Fundamentos de Algebra Booleana (4) • Teorema 6 (a) ab + ab' = a (b) (a + b)(a + b') = a • Ejemplos: Simplificar : ABC + AB'C = AC [T6(a)] Simplificar : (W' + X' + Y' + Z')(W' + X' + Y' + Z) (W' + X' + Y + Z')(W' + X' + Y + Z) = (W' + X' + Y')(W' + X' + Y + Z')(W' + X' + Y + Z) [T6(b)] = (W' + X' + Y')(W' + X' + Y) [T6(b)] = (W' + X') [T6(b)] Sistemas Digitales 5
  • 6. Fundamentos de Algebra Booleana (5) • Teorema 7 (a) ab + ab'c = ab + ac (b) (a + b)(a + b' + c) = (a + b)(a + c) • Ejemplos: wy' + wx'y + wxyz + wxz' = wy' + wx'y + wxy + wxz' [T7(a)] = wy' + wy + wxz' [T6(a)] = w + wxz‘ [T6(a)] = w [T4(a)] (x'y' + z)(w + x'y' + z') = (x'y' + z)(w + x'y') [T7(b)] Sistemas Digitales 6
  • 7. Fundamentos de Algebra Booleana (6) • Teorema 8 (Teorema de DeMorgan) (a) (a + b)' = a'b' (b) (ab)' = a' + b' • Teorema Generalizado de DeMorgan (a) (a + b + … z)' = a'b' … z' (b) (ab … z)' = a' + b' + … z' • Ejemplos: (a + bc)' = (a + (bc))' = a'(bc)' = a'(b' + c') = a'b' + a'c' Nota: (a + bc)' ≠ a'b' + c' Sistemas Digitales 7
  • 8. Fundamentos de Algebra Booleana (7) • Ejemplos del teorema de DeMorgan (a(b + z(x + a')))' = a' + (b + z(x + a'))' [T8(b)] = a' + b' (z(x + a'))' [T8(a)] = a' + b' (z' + (x + a')') [T8(b)] = a' + b' (z' + x'(a')') [T8(a)] = a' + b' (z' + x'a) [T3] = a' + b' (z' + x') [T5(a)] (a(b + c) + a'b)' = (ab + ac + a'b)' = (b + ac)' [T6(a)] = b'(ac)' [T8(a)] = b'(a' + c') [T8(b)] Sistemas Digitales 8
  • 9. Fundamentos de Algebra Booleana (8) • Teorema 9 (Consenso) (a) ab + a'c + bc = ab + a'c (b) (a + b)(a' + c)(b + c) = (a + b)(a' + c) • Examples: AB + A'CD + BCD = AB + A'CD [T9(a)] (a + b')(a' + c)(b' + c) = (a + b')(a' + c) [T9(b)] ABC + A'D + B'D + CD = ABC + (A' + B')D + CD = ABC + (AB)'D + CD [T8(b)] = ABC + (AB)'D [T9(a)] = ABC + (A' + B')D [T8(b)] = ABC + A' D + B ' D Sistemas Digitales 9
  • 10. Formas algebraicas de funciones de conmutación (1) • Literal: Una variable, complementada o sin complementar. • Término Producto: Un literal o literales unidos por una operación AND. • Término Suma: Un literal o literales unidos por una operación OR • SOP (Suma de Productos): • OR de términos producto • f(A, B, C) = ABC + A'C + B'C • POS (Producto of Sumas) • AND de términos suma • f (A, B, C) = (A' + B' + C')(A + C')(B + C') Sistemas Digitales 10
  • 11. Formas algebraicas de funciones de conmutación (2) • Un Mintérminos (minterm) es un término producto en que todas las variables aparecen exactamente una vez ya sea complementadas o sin complementar. • Suma Canónica de Productos (canonica SOP): – Representada como una suma de solo Mintérminos. – Ejemplo : f1(A,B,C) = A'BC' + ABC' + A'BC + ABC (2.1) • Mintérminos de tres variables: Mintérminos Código Número de Mintérmimos Mintérminos A'B'C' 000 m0 A'B'C 001 m1 A'BC' 010 m2 A'BC 011 m3 AB'C' 100 m4 AB'C 101 m5 ABC' 110 m6 A BC 111 m7 Sistemas Digitales 11
  • 12. Formas algebraicas de funciones de conmutación (3) • Forma compacta de una forma canónica SOP: f1(A,B,C) = m2 + m3 + m6 + m7 (2.2) • Una manera más simplificada de la forma es: f1(A,B,C) = Σ m (2,3,6,7) (forma de lista de mintérminos) (2.3) • El orden de las variables en la notación de la función notation es importante. • Deduciendo la table de verdad de f1(A,B,C) desde la lista de mintérminos: Fila Nº Entradas Salidas Complemento ( i) ABC f1(A,B,C)= Σm(2,3,6,7) f1'(A,B,C)= Σm(0,1,4,5) 0 000 0 1 ← m0 1 001 0 1 ← m1 2 010 1 ← m2 0 3 011 1 ← m3 0 4 100 0 1 ← m4 5 101 0 1 ← m5 6 110 1 ← m6 0 7 111 1 ← m7 0 Sistemas Digitales 12
  • 13. Formas algebraicas de funciones de conmutación (4) • Ejemplo: Dado f(A,B,Q,Z) = A'B'Q'Z' + A'B'Q'Z + A'BQZ' + A'BQZ, expresar las funciones f(A,B,Q,Z) and f '(A,B,Q,Z) en forma de lista de mintérminos. f(A,B,Q,Z) = A'B'Q'Z' + A'B'Q'Z + A'BQZ' + A'BQZ = m 0 + m1 + m6 + m7 = Σ m(0, 1, 6, 7) f '(A,B,Q,Z) = m2 + m3 + m4 + m5 + m8 + m9 + m10 + m11 + m12 + m13 + m14 + m15 = Σ m(2, 3, 4, 5, 8, 9, 10, 11, 12, 13, 14, 15) 2 n −1 • ∑m i =0 i =1 (2.6) • AB + (AB)' = 1 y AB + A' + B' = 1, mientras que AB + A'B' ≠ 1. • La suma (OR) de todos los mintérminos de “n” variables es igual a 1. Sistemas Digitales 13
  • 14. Formas algebraicas de funciones de conmutación (5) • Un maxtérmino es una suma de términos en el cual todas las variables aparecen exactamente una vez ya sea complementas o sin complemento. • Forma Canónica de Productos de Sumas (canónicas POS): – Representada sólo como un producto of maxtérminos. – Ejemplo: f2(A,B,C) = (A+B+C)(A+B+C')(A'+B+C)(A'+B+C') (2.7) • Maxtérminos de tres variables: variables Maxtérmino Código del Lista de Maxtérmino Maxtérmino A+B+C 000 M0 A+B+C' 001 M1 A+B'+C 010 M2 A+B'+C' 011 M3 A'+B+C 100 M4 A'+B+C' 101 M5 A'+B'+C 110 M6 A'+B'+C' 111 M7 Sistemas Digitales 14
  • 15. Formas algebraicas de funciones de conmutación (6) • f2(A,B,C) = M0M1M4M5 (2.8) = ΠM(0,1,4,5) (forma de lista de maxtérminos) (2.9) • La tabla de verdad para fγ(A,B,C): Fila Nº Entradas M0 M1 M4 M5 Salidas (i) ABC A+B+C A+B+C' A'+B+C A'+B+C' f2 (A,B,C) 0 000 0 1 1 1 0 1 001 1 0 1 1 0 2 010 1 1 1 1 1 3 011 1 1 1 1 1 4 100 1 1 0 1 0 5 101 1 1 1 0 0 6 110 1 1 1 1 1 7 111 1 1 1 1 1 Sistemas Digitales 15
  • 16. Formas algebraicas de funciones de conmutación (9) • Ejemplo: Determinar la relación entre los maxtérminos para la función y su complemento. – Para f(A,B,C) = ( A+B+C ')(A+B'+C ')(A'+B+C ')(A'+B'+C ') – La tabla de verdad es: Fila Nº Entradas Salidas Salidas ( i) AB C f (A,B,C) f '(A,B,C)= Π M(0,2,4,6) 0 000 1 0 ← M0 1 001 0 1 2 010 1 0 ← M2 3 011 0 1 4 100 1 0 ← M4 5 101 0 1 6 110 1 0 ← M6 7 111 0 1 Sistemas Digitales 16
  • 17. Funciones con especificación incompleta • Con frecuencia ocurre que la función de conmutación no tiene especificación completa. • Algunos mintérminos o maxtérminos son omitidos y son llamados mintérminos o maxtérminos prescindibles (don’t care). • Prescindibles significa que: – Ciertas combinaciones de entradas nunca ocurren. – Se necesitan que las salida sea 1 o o para ciertas combinaciones. • Mintérminos prescindibles: di Maxtérminos prescindibles: Di Sistemas Digitales 17
  • 18. Funciones con especificación incompleta • Ejemplo: Sea f(A,B,C) una función con mintérminos m0, m3, y m7 y condiciones prescindibles d4 and d5. Expresar la función y su complemento con mintérminos y con maxtérminos; reducir después la función a su forma más sencilla. • Solución: – La forma de lista de Mintérminos para esta función es: f(A,B,C) = Σm(0,3,7) + d(4,5) – y la lista de Maxtérminos es: (A,B,C) = ΠM(1,2,6)·D(4,5) Observe que los maxtérminos prescindibles Di son sencillamente los mintérminos prescindibles, ya que los términos pueden ser 1 o 0. De aquí que: f '(A,B,C) = Σm(1,2,6) + d(4,5) = ΠM(0,3,7)·D(4,5) Para simplificar la expresión f(A,B,C), enumeramos los términos como: f (A,B,C)= A'B'C ' + A'BC + ABC + d(AB'C ' + AB'C) Ahora bien mediante los teoremas del àlgebra boolena y considerando que los términos prescindibles pueden ser utilizados u omitidos, según ayuden o no en la simplificación. En este caso se omite el uso de d5 y el resultado se convierte en: f(A,B,C) = B'C ' + BC Sistemas Digitales 18
  • 19. Circuitos de Conmutación Compuertas Lógicas Electrónicas (1) • Señales eléctricas y valores lógicos Señal Valor Lógico Eléctrica Lógica Posit iva Lógica Negativa Voltaje Alto(H) 1 0 Voltaje Bajo (L) 0 1 – Una señal puesta a valor lógico 1, se dice que es activa o verdadera. – Una señal alta activa se afirma cuando es alta (en lógica positiva). – Una señal baja activa se afirma cuando es baja (en lògica negativa). Sistemas Digitales 19
  • 20. Compuertas Lógicas Electrónicas (2) a a & AND f(a, b) =ab AND f(a, b) =ab b b ³ a a 1 OR f(a, b) =a + b OR f(a, b) =a + b b b a 1 NOT a f(a) =a NOT f(a) =a b a a & NAND f(a, b) =ab NAND f(a, b) =ab b b ³ a a 1 NOR f(a, b) =a + b NOR f(a, b) =a + b b b a f(a, b) =a ⊕ b a EXCLUSIVE EXCLUSIVE =1 f(a, b) =a ⊕ b OR b OR b Symbol set 1 Symbol set 2 (ANSI/IEEE Standard 91-1984) Sistemas Digitales 20
  • 21. Compuertas Lógicas Electrónicas (3) Vcc 4B 4A 4Y 3B 3A 3Y Vcc 4Y 4B 4A 3Y 3B 3A 14 13 12 11 10 9 8 14 13 12 11 10 9 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 1A 1B 1Y 2A 2B 2Y GND 1Y 1A 1B 2Y 2A 2B GND 7400: = AB Y 7402: = A + B Y Quadruple two-input NAND gates Quadruple two-input NOR gates Vcc 6A 6Y 5A 5Y 4A 4Y Vcc 4B 4A 4Y 3B 3A 3Y 14 13 12 11 10 9 8 14 13 12 11 10 9 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 1A 1Y 2A 2Y 3A 3Y GND 1A 1B 1Y 2A 2B 2Y GND 7404: = A Y 7408: = AB Y Hex inverters Quadruple two-input AND gates Sistemas Digitales 21
  • 22. Compuertas Lógicas Electrónicas (4) Vcc 1C 1Y 3C 3B 3A 3Y Vcc 2D 2C NC 2B 2A 2Y 14 13 12 11 10 9 8 14 13 12 11 10 9 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 1A 1B 2A 2B 2C 2Y GND 1A 1B NC 1C 1D 1Y GND 7410: = ABC Y 7420: = ABCD Y Triple three-input NAND gates Dual four-input NAND gates Sistemas Digitales 22
  • 23. Compuertas Lógicas Electrónicas (5) Vcc NC H G NC NC Y Vcc 4B 4A 4Y 3B 3A 3Y 14 13 12 11 10 9 8 14 13 12 11 10 9 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 A B C D E F GND 1A 1B 1Y 2A 2B 2Y GND 7430: = ABCDEFGH Y 7432: = A + B Y 8-input NAND gate Quadruple two-input OR gates Vcc 4B 4A 4Y 3B 3A 3Y 14 13 12 11 10 9 8 1 2 3 4 5 6 7 1A 1B 1Y 2A 2B 2Y GND 7486: = A Å B Y Quadruple two-input exclusive-OR gates Sistemas Digitales 23
  • 24. Componentes funcionales básicos (1) • AND A a b fAND(a, b) =ab A B Y Y B 0 0 0 L L L (c) 0 1 0 L H L 1 0 0 HL L A & Y 1 1 1 HH H B (a) (b) (d) (a) Función lógica AND. (b) Compuerta AND electrónica. (c) Símbolo estándar. (d) Bloque estándar IEEE. Sistemas Digitales 24
  • 25. Componentes funcionales básicos (2) • OR A a b fOR(a, b) =a + b A B Y Y B 0 0 0 L L L (c) 0 1 1 L H H 1 0 1 HL H A ≥1 Y 1 1 1 HH H B (a) (b) (d) (a) Función lógica OR. (b) Compuerta OR electrónica. (c) Símbolo estándar. (d) Bloque estándar IEEE. Sistemas Digitales 25
  • 26. Componentes funcionales básicos (4) • NOT A Y (c) a fNOT =a (a) A Y 0 1 L H A 1 Y 1 0 H L (a) (b) (d) (a) Función lógica NOT. (b) Compuerta NOT electrónica. (c) Símbolo estándar. (d) Bloque estándar IEEE. Sistemas Digitales 26
  • 27. Componentes funcionales básicos (5) • Lógica Positiva Versus Negativa Lógica Posit iva Lógica Negat iva 1 se representa con Voltaje Alto Voltaje Bajo 0 se representa con Voltaje Bajo Voltaje Alto Sistemas Digitales 27
  • 28. Componentes funcionales básicos (15) • OR exclusivo (XOR) – fXOR(a, b) = a ⊕ b = a b + ab (2.24) ab fXOR(a, b) = a ⊕ b AB Y 00 0 LL L 01 1 LH H 10 1 HL H 11 0 HH L (a) XOR logic function (b) Electronic XOR gate A A Y =1 Y B B (c) Standard symbol (d) IEEE block symbol Sistemas Digitales 28
  • 29. Componentes funcionales básicos (16) • POS de XOR a ⊕ b = a b + ab = a a + a b + ab + bb = a ( a + b) + b ( a + b) = (a + b )(a + b) • Algunas relaciones útiles – a⊕a=0 (2.25) – a⊕ a =1 (2.26) – a⊕0=a (2.27) – a⊕1=a (2.28) – a ⊕b = a⊕b (2.29) – a⊕b=b⊕a (2.30) – a ⊕ (b ⊕ c) = (a ⊕ b) ⊕ c (2.31) Sistemas Digitales 29
  • 30. Componentes funcionales básicos (18) • NOR exclusivo (XNOR) – fXNOR(a, b) = a ⊕ b = a b (2.32) A a b fXNOR b) =a b (a, AB Y Y B 0 0 1 LL H (c) 0 1 0 LH L 1 0 0 HL L A =1 1 1 1 HH H Y B (a) (b) (d) (a) Función lógica NOR exclusivo (XNOR). (b) Compuerta XNOR electrónica. (c) Símbolo estándar. (d) Bloque estándar IEEE. Sistemas Digitales 30
  • 31. Análisis de circuitos combinatorios (1) • Diseño de Circuitos Digitales: – Descripcion verbal de una función ⇒ Conjunto de ecuaciones de conmutación ⇒ Realización del hardware (compuertas, dispositivos lógicos programables PLD, etc.) • Análisis de Circuitos Digitales: – Realización del hardware ⇒ Expresiones de conmutación, tablas de verdad, diagramas de tiempo, etc. • El análisis se usa para: – Determinar la conducta del circuito – Verificar que el circuito cumpla con las especificaciones – Apoyo para convertir el circuito a una forma diferente ya sea mediante una minimización del número de compuertas o su realización con diferentes elementos. Sistemas Digitales 31
  • 32. Análisis de circuitos combinatorios (4) • Ejemplo : Determinar una expresión de conmutación y un circuito simplificado para la red de la siguiente figura: a a b b (a b )(b c) b c b c f (a, b, c) a a +b b a a +b +a +c c a +c Given circuit Sistemas Digitales 32
  • 33. Análisis de circuitos combinatorios (5) • Determine la expresión de salida: f(a,b,c) = ( a ⊕ b)(b ⊕ c) ⋅ (a + b + a + c) = ( a ⊕ b)(b ⊕ c) + a + b + a + c) = (a ⊕ b)(b ⊕ c) + (a + b )(a + c) = (ab + a b)(bc + b c) + (a + b )(a + c) = ab bc + ab b c + a bbc + a bb c + a a + a c + ab + b c = a b c + a bc + a c + ab + b c = a bc + a c + ab + b c a = a bc + a c + ab c f (a, b, c) = a b + a c + ab = ac + a ⊕ b a b Simplified circuit Circuito Simplificado Sistemas Digitales 33
  • 34. Análisis de circuitos combinatorios (7) • Análisis de diagramas de tiempos. – Diagrama de Tiempos es una representación gráfica de las relaciones entre las señales de entrada y salida de una red de conmutación relativas a la dimensión del tiempo. tiempo – Los Diagramas de Tiempos muestran con freceuncia, señales intermedias, como los retardos de propagación introducidos por las compuertas y otros elementos del circuito. Sistemas Digitales 34
  • 35. Análisis de circuitos combinatorios (8) • Ejemplo : Determinar la tabla de verdad a partir del Diagrama de Tiempos del circuito. A A B Y = fa (A, B, C) B C Inputs Outputs Z = fb (A, B, C) Y = fa (A, B, C) Z = fb (A, B, C) C t0 t1 t2 t3 t4 t5 t6 t7 (a) (b) Inputs Outputs Time ABC fa(A, B, C) fb(A, B, C) t0 000 0 0 t1 001 1 1 t2 010 1 0 t3 011 0 1 t4 100 0 0 t5 101 0 1 t6 110 1 1 t7 111 1 0 (c) Sistemas Digitales 35
  • 36. Análisis de circuitos combinatorios (9) • Retardo por Propagación – Se deben considerar las características físicas del circuito lógico, tales como: • Retardos por Propagación. • Restricciones de fan-in y fan-out de las compuertas. • Consumo de energía. • Tamaño y peso. – Retardos por Propagación : Retardo entre el instante de cambio de la entrada y el cambio correspondiente en la salida. – Parámetros típicos del retardo de propagación: • tPLH = tiempo de retardo por propagación, con salida de nivel bajo a alto. • tPHL = tiempo de retardo por propagación, con salida de nivel alto a bajo. – Aproximación del tiempo de retardo por propagación: t PLH + t PHL t PD = 2 Sistemas Digitales 36
  • 37. Análisis de circuitos combinatorios (10) • Retardo por propagación a través de una compuerta lógica. a b a c b c (a) Two-input AND gate (b) Ideal (zero) delay a a b b c c tPD tPD tPLH tPHL (c)tPD = tPLH= tPHL (d)tPLH< tPHL Sistemas Digitales 37
  • 38. Análisis de circuitos combinatorios (11) • Disipación de potencia y retardo por propagación para varias familias lógicas. Familia Ret. por Propag. Disipació n de Pot. lógica tPD(ns) x co mpuerta (mW) Tecno logía 7400 10 10 Standard TTL 74H 00 6 22 High-speed TTL 74L00 33 1 Low-power TTL 74LS00 9. 5 2 Low-power Schottky TTL 74S 00 3 19 Schottky TTL 74ALS00 3. 5 1. 3 Advanced low-power Schottky TTL 74AS00 3 8 Advanced Schottky TTL 74HC00 8 0. 17 High-speed CMOS Sistemas Digitales 38
  • 39. Análisis de circuitos combinatorios (12) • Retardo por propagación de compuertas primitivas de la serie 74LS tPLH (nseg) tPHL (nseg) Chip Funct ion Typical Maximum T ypical Maximum 74LS04 NOT 9 15 10 15 74LS00 NAND 9 15 10 15 74LS02 NOR 10 15 10 15 74LS08 AND 8 15 10 20 22 74LS32 OR 14 22 14 22 Sistemas Digitales 39
  • 40. Síntesis de circuitos lógicos combinatorios (10) • Ejemplo : deducir las ecuaciones lógicas para un circuito que sume los dos números binarios de 2 bits (A1A0)2 y (B1B0)2, y produzca los bits de suma (S1S0)2 y el bit de acarreo de salida C1; es decir, A1 A0 + B1B0 C1 S1 S0 Solución: Se tiene cuatro entradas A1, A0, B1 y B0 y tres salidas C1, S1 y S0, la tabla de verdad es entonces la que se muestra a continuación: Sistemas Digitales 40
  • 41. Síntesis de circuitos lógicos combinatorios (11) Tabla de Verdad Ecuaciones lógicas A1 A0 B1 B0 C1 S1 S0 S0 = A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 + A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0 0 0 1 0 0 1 0 + A1 A0 B1B0 + A1 A0 B1B0 0 0 1 1 0 1 1 0 1 0 0 0 0 1 0 1 0 1 0 1 0 S1 = A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0 0 1 1 0 0 1 1 + A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0 0 1 1 1 1 0 0 1 0 0 0 0 1 0 + A1 A0 B1B0 + A1 A0 B1B0 1 0 0 1 0 1 1 1 0 1 0 1 0 0 1 0 1 1 1 0 1 C1 = A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0 1 1 0 0 0 1 1 + A1 A0 B1B0 + A1 A0 B1B0 + A1 A0 B1B0 1 1 0 1 1 0 0 1 1 1 0 1 0 1 1 1 1 1 1 1 0 Sistemas Digitales 41
  • 42. Síntesis de circuitos lógicos combinatorios (12) • Ecuaciones reducidas : S0 = A0 B0 + A0 + B0 S1 = A1 A0 B1 + A1 B1 B0 + A1 A0 B1 B0 + A1 A0 B1 B0 + A1 B1 B0 + A1 A0 B1 C1 = A0 B1B0 + A1 A0 B0 + A1B1 Sistemas Digitales 42
  • 43. Síntesis de circuitos lógicos combinatorios (13) • Modelo de comportamiento de un circuito Sumador Completo (Full Adder). (a) Diagrama de bloque, (b) Table de verdad, (c) Ecuaciones lógicas a b cin a b cin cout s 0 0 0 0 0 0 0 1 0 1 s =a b c Full_adder 0 1 0 0 1 in 0 1 1 1 0 cout= ab+ ac + bc in in 1 0 0 0 1 1 0 1 1 0 (c) 1 1 0 1 0 cout s 1 1 1 1 1 (a) (b) Sistemas Digitales 43
  • 44. Síntesis de circuitos lógicos combinatorios (14) • Modelo de modo mixto para el circuito de Sumador Completo. – Módulo de bits Suma (S) y de Acarreo Cout). – (a) Diagrama en bloque del Sumador Completo, (b) Circuito para la función Suma y Acarreo, (c) Tabla de Verdad. a b cin cout a b Sum s 0 0 0 0 cin module 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 Carry 1 0 1 1 cout 1 1 0 1 module 1 1 1 1 (a) (c) a b s cin (b) Sistemas Digitales 44
  • 45. Síntesis de circuitos lógicos combinatorios (18) • Detección de un Riesgo Estático vía simulación. – Un error (glitch) puede ser detectado en la salida g en el tiempo t3 desde las formas de onda o diagrama de tiempo. – Esto ocurre, porque e y f llegan a ser 0 momentaneamente entre los instantes de tiempo t2 y t3. a b c d e f a e g b g d c f Time t t t t1 t2 t3 t4 (a) (b) Sistemas Digitales 45
  • 46. Síntesis de circuitos lógicos combinatorios (19) • Modelos de retardo de dispositivos primitivos – Cada compuerta primitiva lógica tiene un retardo intrínsico. – Una compuerta puede ser modelada como una compuerta idea (retardo nulo) y un elemento de retardo por transporte. a c* t c b Ideal Time gate delay – Modelos de retardo más comunes son: • Retardo unitario/nominal • Retardo por ascenso/descenso • Retardo Ambiguo o Min/Max Sistemas Digitales 46
  • 47. Síntesis de circuitos lógicos combinatorios (20) • Retardo Unitario/Nominal – Retardo unitario: se asigna a cada circuito de una compuerta el mismo retardo unitario. – Retardo nominal: son retardos por transporte determinados individualmente para cada tipo de compuerta (por ejemplo una unidad de tiempo de retardo para una compuerta NOR y dos para una compuerta XOR). a b c t t Sistemas Digitales 47
  • 48. Síntesis de circuitos lógicos combinatorios (21) • Retardo por ascenso/descenso – Retardo diferentes para transiciones de 0 to 1 y para transiciones de 1 to 0. – tPLH (tiempo de ascenso): retardo de propagación de estado bajo (L) a estado alto (H). – tPHL (tiempo de descenso): retardo de propagación de estado alto (H) a estado bajo (L). a b c tPLH tPHL (rise time) (fall time) Sistemas Digitales 48
  • 49. Síntesis de circuitos lógicos combinatorios (22) • Retardo Ambiguo o Min/Max. – Algunas veces es imposible predecir el exacto instante de tiempo en que una señal puede ascender o descender. – Para el peor de los casos se especifica un rango de tiempo en que este puede ocurrir {tmin, tmax}. a b c tmin tmax Sistemas Digitales 49