SlideShare una empresa de Scribd logo
1 de 3
Descargar para leer sin conexión
INGENIER´IA DE COMPUTADORES III Ejercicio de autocomprobaci´on 2
INSTRUCCIONES:
1. Resuelva este ejercicio en las mismas condiciones en que realizar´a el examen: dos horas
de tiempo y sin emplear ning´un material.
2. Revise sus contestaciones, empleando para ello el texto y el simulador que est´e usando
para estudiar la asignatura.
3. Compare sus respuestas revisadas con la soluci´on.
Pregunta 1 (3 puntos)
Escriba en VHDL la architecture que describe el comportamiento de un divisor de frecuencias
por 3, con se˜nal de reset as´ıncrona activa a nivel bajo. El c´odigo VHDL de la entity del divisor
de frecuencias se muestra a continuaci´on.
entity divisor_frecuencia_3 is port(
clk3 : out std_logic;
clk, resetn: in std_logic );
end entity divisor_frecuencia_3;
La salida clk3 es una se˜nal peri´odica con la misma forma de onda que la se˜nal clk, pero
con un tercio de su frecuencia. Es decir, un periodo de la se˜nal clk3 se corresponde con tres
periodos de la se˜nal clk. Los cambios s´ıncronos en la se˜nal clk3 se producen en el flanco
de la se˜nal clk. La entrada resetn pone as´ıncronamente la se˜nal clk3 a 0, manteni´endose
dicho valor mientras el valor de resetn valga 0.
Puede tomar las decisiones de dise˜no que estime convenientes, siempre y cuando las argumen-
te y no est´en en contradicci´on con las especificaciones anteriores.
Pregunta 2 (2 puntos)
Escriba en VHDL la entity y la architecture que describe:
2.a) (0.25 puntos) El comportamiento de una puerta NOT.
2.b) (0.25 puntos) El comportamiento de una puerta XOR de 2 entradas.
2.c) (1.5 puntos) La estructura de un circuito combinacional detector de paridad de n´umeros
de n bits, con n >= 2. La salida del circuito es 1 si la entrada tiene un n´umero par de
unos. En cualquier otro caso, la salida del circuito es 0. La architecture debe describir
la estructura del circuito combinacional, instanciando y conectando adecuadamente las
puertas l´ogicas NOT y XOR necesarias. Emplee las sentencias generic, generate y las
puertas l´ogicas cuyo dise˜no ha realizado al contestar los dos apartados anteriores.
Pregunta 3 (3 puntos)
Programe en VHDL el banco de pruebas del circuito combinacional que ha dise˜nado al contes-
tar a la Pregunta 2c. Suponga que el n´umero de bits que tiene como entrada el circuito es 3 (es
decir, n = 3). Explique detalladamente c´omo el programa de test comprueba exhaustivamente
el valor de la UUT para todos los posibles valores de la entrada. El banco de pruebas debe
comprobar que los valores obtenidos de la UUT coinciden con los esperados, mostrando el
correspondiente mensaje en caso de que no coincidan. Al final del test, debe mostrarse un
mensaje indicando el n´umero total de errores.
Pregunta 4 (2 puntos)
A continuaci´on, se muestra el dise˜no de cuatro circuitos. La entity de todos ellos es la siguien-
te.
entity ffd is port(
q : out std_logic;
d, clk, rst: in std_logic );
end entity ffd;
La architecture de cada uno de los circuitos se muestra a continuaci´on (v´ease Soluci´on 1, 2,
3 y 4).
---- Solucion 1-------------
architecture arch1 of ffd is
begin
process (clk, rst)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (rising_edge(clk)) then
q <= d;
end if;
end process;
end arch1;
---- Solucion 2-------------
architecture arch2 of ffd is
begin
process (clk)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (rising_edge(clk)) then
q <= d;
end if;
end process;
end arch2;
---- Solucion 3-------------
architecture arch3 of ffd is
begin
process (clk)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (clk = ‘1’) then
q <= d;
end if;
end process;
end arch3;
---- Solucion 4-------------
architecture arch4 of ffd is
begin
process (clk, rst, d)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (clk = ‘1’) then
q <= d;
end if;
end process;
end arch4;
Para cada circuito, explique detalladamente si tiene la funcionalidad de un flip-flop D con
se˜nal de reset as´ıncrona.

Más contenido relacionado

La actualidad más candente

Unidad 4 est. dat. recursividad
Unidad 4  est. dat. recursividadUnidad 4  est. dat. recursividad
Unidad 4 est. dat. recursividadrehoscript
 
Aplicacion de una EDO de segundo grado a los circuitos en serie
Aplicacion de una EDO de segundo grado a los circuitos en serieAplicacion de una EDO de segundo grado a los circuitos en serie
Aplicacion de una EDO de segundo grado a los circuitos en serieCabphialmen Boundless
 
EDO de Segundo Orden en Circuitos RLC en serie
EDO de Segundo Orden en Circuitos RLC en serieEDO de Segundo Orden en Circuitos RLC en serie
EDO de Segundo Orden en Circuitos RLC en serieIvenick
 
Ejerciciosparaelprimerparcial
EjerciciosparaelprimerparcialEjerciciosparaelprimerparcial
Ejerciciosparaelprimerparcialmtemarialuisa
 
ANALISIS DE ALGORITMOS
ANALISIS DE ALGORITMOSANALISIS DE ALGORITMOS
ANALISIS DE ALGORITMOSEvans Balcazar
 
Utp 2015-2_sirn lab2
 Utp 2015-2_sirn lab2 Utp 2015-2_sirn lab2
Utp 2015-2_sirn lab2jcbp_peru
 
Utp ia_2014-2_s10_redes de funciones de base radial
 Utp ia_2014-2_s10_redes de funciones de base radial Utp ia_2014-2_s10_redes de funciones de base radial
Utp ia_2014-2_s10_redes de funciones de base radialjcbp_peru
 
Utp 2015-2_ia_lab1.pdf
 Utp 2015-2_ia_lab1.pdf Utp 2015-2_ia_lab1.pdf
Utp 2015-2_ia_lab1.pdfjcbp_peru
 
Leccion3 y4 agosto25_2011solucion
Leccion3 y4 agosto25_2011solucionLeccion3 y4 agosto25_2011solucion
Leccion3 y4 agosto25_2011solucionJair BG
 
Resumen Complejidad Computacional y de Algoritmos
Resumen Complejidad Computacional y de AlgoritmosResumen Complejidad Computacional y de Algoritmos
Resumen Complejidad Computacional y de Algoritmosmarshalleitor
 
Parcial II de Sistema de Operación – Semestre 201415
Parcial II de Sistema de Operación – Semestre 201415Parcial II de Sistema de Operación – Semestre 201415
Parcial II de Sistema de Operación – Semestre 201415Viviana Trujillo
 
U1 Analisis Algoritmos Complejidad
U1 Analisis Algoritmos ComplejidadU1 Analisis Algoritmos Complejidad
U1 Analisis Algoritmos Complejidadrezzaca
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)Victor Asanza
 

La actualidad más candente (20)

Utp 2015-2_ia_lab3
 Utp 2015-2_ia_lab3 Utp 2015-2_ia_lab3
Utp 2015-2_ia_lab3
 
Complejidad de Algoritmos
Complejidad de AlgoritmosComplejidad de Algoritmos
Complejidad de Algoritmos
 
Unidad 4 est. dat. recursividad
Unidad 4  est. dat. recursividadUnidad 4  est. dat. recursividad
Unidad 4 est. dat. recursividad
 
Aplicacion de una EDO de segundo grado a los circuitos en serie
Aplicacion de una EDO de segundo grado a los circuitos en serieAplicacion de una EDO de segundo grado a los circuitos en serie
Aplicacion de una EDO de segundo grado a los circuitos en serie
 
EDO de Segundo Orden en Circuitos RLC en serie
EDO de Segundo Orden en Circuitos RLC en serieEDO de Segundo Orden en Circuitos RLC en serie
EDO de Segundo Orden en Circuitos RLC en serie
 
Ejerciciosparaelprimerparcial
EjerciciosparaelprimerparcialEjerciciosparaelprimerparcial
Ejerciciosparaelprimerparcial
 
ANALISIS DE ALGORITMOS
ANALISIS DE ALGORITMOSANALISIS DE ALGORITMOS
ANALISIS DE ALGORITMOS
 
Utp 2015-2_sirn lab2
 Utp 2015-2_sirn lab2 Utp 2015-2_sirn lab2
Utp 2015-2_sirn lab2
 
Aprendizaje de un perceptrón simple
Aprendizaje de un perceptrón simpleAprendizaje de un perceptrón simple
Aprendizaje de un perceptrón simple
 
Utp ia_2014-2_s10_redes de funciones de base radial
 Utp ia_2014-2_s10_redes de funciones de base radial Utp ia_2014-2_s10_redes de funciones de base radial
Utp ia_2014-2_s10_redes de funciones de base radial
 
Analisis Algoritmo
Analisis AlgoritmoAnalisis Algoritmo
Analisis Algoritmo
 
Complejidad Computacional
Complejidad ComputacionalComplejidad Computacional
Complejidad Computacional
 
Tema 3 transformada_z
Tema 3 transformada_zTema 3 transformada_z
Tema 3 transformada_z
 
Utp 2015-2_ia_lab1.pdf
 Utp 2015-2_ia_lab1.pdf Utp 2015-2_ia_lab1.pdf
Utp 2015-2_ia_lab1.pdf
 
Leccion3 y4 agosto25_2011solucion
Leccion3 y4 agosto25_2011solucionLeccion3 y4 agosto25_2011solucion
Leccion3 y4 agosto25_2011solucion
 
Resumen Complejidad Computacional y de Algoritmos
Resumen Complejidad Computacional y de AlgoritmosResumen Complejidad Computacional y de Algoritmos
Resumen Complejidad Computacional y de Algoritmos
 
Parcial II de Sistema de Operación – Semestre 201415
Parcial II de Sistema de Operación – Semestre 201415Parcial II de Sistema de Operación – Semestre 201415
Parcial II de Sistema de Operación – Semestre 201415
 
Practica 7 Flip Flop
Practica 7 Flip FlopPractica 7 Flip Flop
Practica 7 Flip Flop
 
U1 Analisis Algoritmos Complejidad
U1 Analisis Algoritmos ComplejidadU1 Analisis Algoritmos Complejidad
U1 Analisis Algoritmos Complejidad
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
 

Destacado

Manual Imagen Corporativa
Manual Imagen CorporativaManual Imagen Corporativa
Manual Imagen CorporativaKet López
 
Manejo de las tecnologías de la información y comunicación
Manejo de las tecnologías de la información y comunicaciónManejo de las tecnologías de la información y comunicación
Manejo de las tecnologías de la información y comunicaciónVeronica Alarcon
 
Aula virtual
Aula virtualAula virtual
Aula virtualritis12
 
Aspectos fundamentales del monte dobra
Aspectos fundamentales del monte dobraAspectos fundamentales del monte dobra
Aspectos fundamentales del monte dobraCarlos Ruisánchez
 
Emprendimiento
EmprendimientoEmprendimiento
Emprendimientocas45
 
El uso de fraccionarios y decimales en nuestro
El uso de fraccionarios y decimales en nuestroEl uso de fraccionarios y decimales en nuestro
El uso de fraccionarios y decimales en nuestroVilma Moron
 
Ciencia del pequeño detalle
Ciencia del pequeño detalleCiencia del pequeño detalle
Ciencia del pequeño detalleDetective-x
 
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013fundash bolivia
 
Mapa formulacion elizar anka
Mapa formulacion elizar ankaMapa formulacion elizar anka
Mapa formulacion elizar ankaElizar Anka
 
Seminaro optativo ambiente y problemas ambientales, para divulgacion
Seminaro optativo ambiente y problemas ambientales, para divulgacionSeminaro optativo ambiente y problemas ambientales, para divulgacion
Seminaro optativo ambiente y problemas ambientales, para divulgacionAna Bólica
 
Ind 05 osha 3134 exposicion a patogenos transmitidos por
Ind 05   osha 3134  exposicion a patogenos transmitidos por Ind 05   osha 3134  exposicion a patogenos transmitidos por
Ind 05 osha 3134 exposicion a patogenos transmitidos por Ingrith Ruth Ruiz
 
Novedades invierno 2015
Novedades invierno 2015Novedades invierno 2015
Novedades invierno 2015BiblioGallur
 
Reglamento interno cidea[1]
Reglamento interno cidea[1]Reglamento interno cidea[1]
Reglamento interno cidea[1]bernardo67
 
Recursos disponibles
Recursos disponiblesRecursos disponibles
Recursos disponibleswaralivt
 

Destacado (20)

Manual Imagen Corporativa
Manual Imagen CorporativaManual Imagen Corporativa
Manual Imagen Corporativa
 
Manejo de las tecnologías de la información y comunicación
Manejo de las tecnologías de la información y comunicaciónManejo de las tecnologías de la información y comunicación
Manejo de las tecnologías de la información y comunicación
 
Joomla09
Joomla09Joomla09
Joomla09
 
Aula virtual
Aula virtualAula virtual
Aula virtual
 
Aspectos fundamentales del monte dobra
Aspectos fundamentales del monte dobraAspectos fundamentales del monte dobra
Aspectos fundamentales del monte dobra
 
Emprendimiento
EmprendimientoEmprendimiento
Emprendimiento
 
El uso de fraccionarios y decimales en nuestro
El uso de fraccionarios y decimales en nuestroEl uso de fraccionarios y decimales en nuestro
El uso de fraccionarios y decimales en nuestro
 
Epistemología y comunicación
Epistemología y comunicaciónEpistemología y comunicación
Epistemología y comunicación
 
Ciencia del pequeño detalle
Ciencia del pequeño detalleCiencia del pequeño detalle
Ciencia del pequeño detalle
 
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013
 
Otoño
OtoñoOtoño
Otoño
 
Mapa formulacion elizar anka
Mapa formulacion elizar ankaMapa formulacion elizar anka
Mapa formulacion elizar anka
 
Seminaro optativo ambiente y problemas ambientales, para divulgacion
Seminaro optativo ambiente y problemas ambientales, para divulgacionSeminaro optativo ambiente y problemas ambientales, para divulgacion
Seminaro optativo ambiente y problemas ambientales, para divulgacion
 
Ind 05 osha 3134 exposicion a patogenos transmitidos por
Ind 05   osha 3134  exposicion a patogenos transmitidos por Ind 05   osha 3134  exposicion a patogenos transmitidos por
Ind 05 osha 3134 exposicion a patogenos transmitidos por
 
Martiniano carrera hilario
Martiniano carrera hilarioMartiniano carrera hilario
Martiniano carrera hilario
 
Laly
LalyLaly
Laly
 
Novedades invierno 2015
Novedades invierno 2015Novedades invierno 2015
Novedades invierno 2015
 
Reglamento interno cidea[1]
Reglamento interno cidea[1]Reglamento interno cidea[1]
Reglamento interno cidea[1]
 
Recursos disponibles
Recursos disponiblesRecursos disponibles
Recursos disponibles
 
La web 2.0 y sus aplicaciones en salud
La web 2.0 y sus aplicaciones en saludLa web 2.0 y sus aplicaciones en salud
La web 2.0 y sus aplicaciones en salud
 

Similar a Divisor de frecuencia VHDL con reset y detector de paridad n bits

Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado SANTIAGO PABLO ALBERTO
 
Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)pepe lopez
 
Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)Pedro Plopezh
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Alveiro2
 
practica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displayspractica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displaysFISGON59
 
REDES NEURONALES COMPETITIVAS HAMMING
REDES NEURONALES COMPETITIVAS HAMMINGREDES NEURONALES COMPETITIVAS HAMMING
REDES NEURONALES COMPETITIVAS HAMMINGESCOM
 
Circuitos combinacionales
Circuitos combinacionalesCircuitos combinacionales
Circuitos combinacionalesElmer Mendoza
 
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...SANTIAGO PABLO ALBERTO
 
Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3ESANTIAGO PABLO ALBERTO
 
Practica 2 ed c fsm verilog - 24 marzo 2014 (2)
Practica 2 ed c   fsm verilog - 24 marzo 2014 (2)Practica 2 ed c   fsm verilog - 24 marzo 2014 (2)
Practica 2 ed c fsm verilog - 24 marzo 2014 (2)Diego Badilla
 
Diseño de Filtros Analógicos
Diseño de Filtros AnalógicosDiseño de Filtros Analógicos
Diseño de Filtros AnalógicosSNPP
 
Programas en lenguaje ensamblador
Programas en lenguaje ensambladorProgramas en lenguaje ensamblador
Programas en lenguaje ensambladorJose Bondia
 
Detector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLADetector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLAMarc Tena Gil
 
Das wissen von morgen (revista)
Das wissen von morgen (revista)Das wissen von morgen (revista)
Das wissen von morgen (revista)Danfuhr26
 
Itcr el 3310 i semestre 2008 soluciones
Itcr el 3310  i semestre 2008 solucionesItcr el 3310  i semestre 2008 soluciones
Itcr el 3310 i semestre 2008 solucionesКатя Флорес
 

Similar a Divisor de frecuencia VHDL con reset y detector de paridad n bits (20)

Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado
 
Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)
 
Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)
 
Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)Fgl029 guiano2arquitecturasvhdl (1)
Fgl029 guiano2arquitecturasvhdl (1)
 
7_vhdl.pdf
7_vhdl.pdf7_vhdl.pdf
7_vhdl.pdf
 
practica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displayspractica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displays
 
REDES NEURONALES COMPETITIVAS HAMMING
REDES NEURONALES COMPETITIVAS HAMMINGREDES NEURONALES COMPETITIVAS HAMMING
REDES NEURONALES COMPETITIVAS HAMMING
 
Circuitos combinacionales
Circuitos combinacionalesCircuitos combinacionales
Circuitos combinacionales
 
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...
 
Redes Neuronales
Redes NeuronalesRedes Neuronales
Redes Neuronales
 
Electrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3EElectrónica digital: Practicas spartan 3E
Electrónica digital: Practicas spartan 3E
 
Practica 2 ed c fsm verilog - 24 marzo 2014 (2)
Practica 2 ed c   fsm verilog - 24 marzo 2014 (2)Practica 2 ed c   fsm verilog - 24 marzo 2014 (2)
Practica 2 ed c fsm verilog - 24 marzo 2014 (2)
 
Mallas 091113110741-phpapp01
Mallas 091113110741-phpapp01Mallas 091113110741-phpapp01
Mallas 091113110741-phpapp01
 
Tarea 1 iee446 1_s2021
Tarea 1 iee446 1_s2021Tarea 1 iee446 1_s2021
Tarea 1 iee446 1_s2021
 
Diseño de Filtros Analógicos
Diseño de Filtros AnalógicosDiseño de Filtros Analógicos
Diseño de Filtros Analógicos
 
Programas en lenguaje ensamblador
Programas en lenguaje ensambladorProgramas en lenguaje ensamblador
Programas en lenguaje ensamblador
 
Detector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLADetector de secuencia no solapada 1011 empleando PLA
Detector de secuencia no solapada 1011 empleando PLA
 
Tema 67 control programado
Tema 67 control programadoTema 67 control programado
Tema 67 control programado
 
Das wissen von morgen (revista)
Das wissen von morgen (revista)Das wissen von morgen (revista)
Das wissen von morgen (revista)
 
Itcr el 3310 i semestre 2008 soluciones
Itcr el 3310  i semestre 2008 solucionesItcr el 3310  i semestre 2008 soluciones
Itcr el 3310 i semestre 2008 soluciones
 

Más de pepe lopez

Origen filosofia
Origen filosofiaOrigen filosofia
Origen filosofiapepe lopez
 
Preguntas de conocimiento del medio
Preguntas de conocimiento del medioPreguntas de conocimiento del medio
Preguntas de conocimiento del mediopepe lopez
 
Comentario ren barroc o
Comentario ren barroc oComentario ren barroc o
Comentario ren barroc opepe lopez
 
Folleto general de la rioja
Folleto general de la riojaFolleto general de la rioja
Folleto general de la riojapepe lopez
 
900968 cursos teleformacion sepe
900968 cursos teleformacion sepe900968 cursos teleformacion sepe
900968 cursos teleformacion sepepepe lopez
 
T13.05 sociedad-economia
T13.05 sociedad-economiaT13.05 sociedad-economia
T13.05 sociedad-economiapepe lopez
 
T13.01 edad moderna
T13.01 edad modernaT13.01 edad moderna
T13.01 edad modernapepe lopez
 
Barcelona turistica
Barcelona turisticaBarcelona turistica
Barcelona turisticapepe lopez
 
Smcono5evaluacion final
Smcono5evaluacion finalSmcono5evaluacion final
Smcono5evaluacion finalpepe lopez
 
Procedimientos generales enfermeria_huvr
Procedimientos generales enfermeria_huvrProcedimientos generales enfermeria_huvr
Procedimientos generales enfermeria_huvrpepe lopez
 

Más de pepe lopez (14)

Origen filosofia
Origen filosofiaOrigen filosofia
Origen filosofia
 
Tema2
Tema2Tema2
Tema2
 
Preguntas de conocimiento del medio
Preguntas de conocimiento del medioPreguntas de conocimiento del medio
Preguntas de conocimiento del medio
 
U11
U11U11
U11
 
U12
U12U12
U12
 
Comentario ren barroc o
Comentario ren barroc oComentario ren barroc o
Comentario ren barroc o
 
Folleto general de la rioja
Folleto general de la riojaFolleto general de la rioja
Folleto general de la rioja
 
900968 cursos teleformacion sepe
900968 cursos teleformacion sepe900968 cursos teleformacion sepe
900968 cursos teleformacion sepe
 
T13.05 sociedad-economia
T13.05 sociedad-economiaT13.05 sociedad-economia
T13.05 sociedad-economia
 
T13.01 edad moderna
T13.01 edad modernaT13.01 edad moderna
T13.01 edad moderna
 
Edad moderna
Edad modernaEdad moderna
Edad moderna
 
Barcelona turistica
Barcelona turisticaBarcelona turistica
Barcelona turistica
 
Smcono5evaluacion final
Smcono5evaluacion finalSmcono5evaluacion final
Smcono5evaluacion final
 
Procedimientos generales enfermeria_huvr
Procedimientos generales enfermeria_huvrProcedimientos generales enfermeria_huvr
Procedimientos generales enfermeria_huvr
 

Último

plan-de-trabajo-colegiado en una institucion educativa
plan-de-trabajo-colegiado en una institucion educativaplan-de-trabajo-colegiado en una institucion educativa
plan-de-trabajo-colegiado en una institucion educativafiorelachuctaya2
 
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIARAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIACarlos Campaña Montenegro
 
BROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtwe
BROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtweBROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtwe
BROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtwealekzHuri
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptxJunkotantik
 
Identificación de componentes Hardware del PC
Identificación de componentes Hardware del PCIdentificación de componentes Hardware del PC
Identificación de componentes Hardware del PCCesarFernandez937857
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosCesarFernandez937857
 
Procesos Didácticos en Educación Inicial .pptx
Procesos Didácticos en Educación Inicial .pptxProcesos Didácticos en Educación Inicial .pptx
Procesos Didácticos en Educación Inicial .pptxMapyMerma1
 
Análisis de la Implementación de los Servicios Locales de Educación Pública p...
Análisis de la Implementación de los Servicios Locales de Educación Pública p...Análisis de la Implementación de los Servicios Locales de Educación Pública p...
Análisis de la Implementación de los Servicios Locales de Educación Pública p...Baker Publishing Company
 
Marketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETPMarketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETPANEP - DETP
 
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.pptDE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.pptELENA GALLARDO PAÚLS
 
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzel CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzprofefilete
 
Lecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdadLecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdadAlejandrino Halire Ccahuana
 
Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...
Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...
Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...Carlos Muñoz
 
EXPECTATIVAS vs PERSPECTIVA en la vida.
EXPECTATIVAS vs PERSPECTIVA  en la vida.EXPECTATIVAS vs PERSPECTIVA  en la vida.
EXPECTATIVAS vs PERSPECTIVA en la vida.DaluiMonasterio
 

Último (20)

plan-de-trabajo-colegiado en una institucion educativa
plan-de-trabajo-colegiado en una institucion educativaplan-de-trabajo-colegiado en una institucion educativa
plan-de-trabajo-colegiado en una institucion educativa
 
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIARAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
 
Power Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptxPower Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptx
 
Sesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdfSesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdf
 
BROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtwe
BROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtweBROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtwe
BROCHURE EXCEL 2024 FII.pdfwrfertetwetewtewtwtwtwtwtwtwtewtewtewtwtwtwtwe
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptx
 
Identificación de componentes Hardware del PC
Identificación de componentes Hardware del PCIdentificación de componentes Hardware del PC
Identificación de componentes Hardware del PC
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos Básicos
 
Procesos Didácticos en Educación Inicial .pptx
Procesos Didácticos en Educación Inicial .pptxProcesos Didácticos en Educación Inicial .pptx
Procesos Didácticos en Educación Inicial .pptx
 
Unidad 4 | Teorías de las Comunicación | MCDI
Unidad 4 | Teorías de las Comunicación | MCDIUnidad 4 | Teorías de las Comunicación | MCDI
Unidad 4 | Teorías de las Comunicación | MCDI
 
Análisis de la Implementación de los Servicios Locales de Educación Pública p...
Análisis de la Implementación de los Servicios Locales de Educación Pública p...Análisis de la Implementación de los Servicios Locales de Educación Pública p...
Análisis de la Implementación de los Servicios Locales de Educación Pública p...
 
Marketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETPMarketing y servicios 2ºBTP Cocina DGETP
Marketing y servicios 2ºBTP Cocina DGETP
 
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.pptDE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
 
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyzel CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
el CTE 6 DOCENTES 2 2023-2024abcdefghijoklmnñopqrstuvwxyz
 
La Trampa De La Felicidad. Russ-Harris.pdf
La Trampa De La Felicidad. Russ-Harris.pdfLa Trampa De La Felicidad. Russ-Harris.pdf
La Trampa De La Felicidad. Russ-Harris.pdf
 
Tema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdf
Tema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdfTema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdf
Tema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdf
 
Unidad 3 | Teorías de la Comunicación | MCDI
Unidad 3 | Teorías de la Comunicación | MCDIUnidad 3 | Teorías de la Comunicación | MCDI
Unidad 3 | Teorías de la Comunicación | MCDI
 
Lecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdadLecciones 04 Esc. Sabática. Defendamos la verdad
Lecciones 04 Esc. Sabática. Defendamos la verdad
 
Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...
Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...
Plan Refuerzo Escolar 2024 para estudiantes con necesidades de Aprendizaje en...
 
EXPECTATIVAS vs PERSPECTIVA en la vida.
EXPECTATIVAS vs PERSPECTIVA  en la vida.EXPECTATIVAS vs PERSPECTIVA  en la vida.
EXPECTATIVAS vs PERSPECTIVA en la vida.
 

Divisor de frecuencia VHDL con reset y detector de paridad n bits

  • 1. INGENIER´IA DE COMPUTADORES III Ejercicio de autocomprobaci´on 2 INSTRUCCIONES: 1. Resuelva este ejercicio en las mismas condiciones en que realizar´a el examen: dos horas de tiempo y sin emplear ning´un material. 2. Revise sus contestaciones, empleando para ello el texto y el simulador que est´e usando para estudiar la asignatura. 3. Compare sus respuestas revisadas con la soluci´on. Pregunta 1 (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un divisor de frecuencias por 3, con se˜nal de reset as´ıncrona activa a nivel bajo. El c´odigo VHDL de la entity del divisor de frecuencias se muestra a continuaci´on. entity divisor_frecuencia_3 is port( clk3 : out std_logic; clk, resetn: in std_logic ); end entity divisor_frecuencia_3; La salida clk3 es una se˜nal peri´odica con la misma forma de onda que la se˜nal clk, pero con un tercio de su frecuencia. Es decir, un periodo de la se˜nal clk3 se corresponde con tres periodos de la se˜nal clk. Los cambios s´ıncronos en la se˜nal clk3 se producen en el flanco de la se˜nal clk. La entrada resetn pone as´ıncronamente la se˜nal clk3 a 0, manteni´endose dicho valor mientras el valor de resetn valga 0. Puede tomar las decisiones de dise˜no que estime convenientes, siempre y cuando las argumen- te y no est´en en contradicci´on con las especificaciones anteriores. Pregunta 2 (2 puntos) Escriba en VHDL la entity y la architecture que describe: 2.a) (0.25 puntos) El comportamiento de una puerta NOT. 2.b) (0.25 puntos) El comportamiento de una puerta XOR de 2 entradas. 2.c) (1.5 puntos) La estructura de un circuito combinacional detector de paridad de n´umeros de n bits, con n >= 2. La salida del circuito es 1 si la entrada tiene un n´umero par de unos. En cualquier otro caso, la salida del circuito es 0. La architecture debe describir la estructura del circuito combinacional, instanciando y conectando adecuadamente las puertas l´ogicas NOT y XOR necesarias. Emplee las sentencias generic, generate y las puertas l´ogicas cuyo dise˜no ha realizado al contestar los dos apartados anteriores.
  • 2. Pregunta 3 (3 puntos) Programe en VHDL el banco de pruebas del circuito combinacional que ha dise˜nado al contes- tar a la Pregunta 2c. Suponga que el n´umero de bits que tiene como entrada el circuito es 3 (es decir, n = 3). Explique detalladamente c´omo el programa de test comprueba exhaustivamente el valor de la UUT para todos los posibles valores de la entrada. El banco de pruebas debe comprobar que los valores obtenidos de la UUT coinciden con los esperados, mostrando el correspondiente mensaje en caso de que no coincidan. Al final del test, debe mostrarse un mensaje indicando el n´umero total de errores. Pregunta 4 (2 puntos) A continuaci´on, se muestra el dise˜no de cuatro circuitos. La entity de todos ellos es la siguien- te. entity ffd is port( q : out std_logic; d, clk, rst: in std_logic ); end entity ffd; La architecture de cada uno de los circuitos se muestra a continuaci´on (v´ease Soluci´on 1, 2, 3 y 4). ---- Solucion 1------------- architecture arch1 of ffd is begin process (clk, rst) begin if (rst = ‘1’) then q <= ‘0’; elsif (rising_edge(clk)) then q <= d; end if; end process; end arch1; ---- Solucion 2------------- architecture arch2 of ffd is begin process (clk) begin if (rst = ‘1’) then q <= ‘0’; elsif (rising_edge(clk)) then q <= d; end if; end process; end arch2;
  • 3. ---- Solucion 3------------- architecture arch3 of ffd is begin process (clk) begin if (rst = ‘1’) then q <= ‘0’; elsif (clk = ‘1’) then q <= d; end if; end process; end arch3; ---- Solucion 4------------- architecture arch4 of ffd is begin process (clk, rst, d) begin if (rst = ‘1’) then q <= ‘0’; elsif (clk = ‘1’) then q <= d; end if; end process; end arch4; Para cada circuito, explique detalladamente si tiene la funcionalidad de un flip-flop D con se˜nal de reset as´ıncrona.