SlideShare una empresa de Scribd logo
1 de 17
Descargar para leer sin conexión
Tema 5
BIESTABLES
5.1 CIRCUITOS SECUENCIALES
Al igual que el Álgebra de Boole era el modelo matemático de la lógica combinacional, la
Teoría de Autómatas (de número de estados finito) es el modelo matemático de la lógica
secuencial. En lógica combinacional se representan todas aquellas funciones en las que,
para conocer el valor de la salida en un determinado instante, sólo hace falta conocer
los valores de las entradas en ese instante, es decir, el circuito no tiene memoria y, por
consiguiente, no es necesario definir su estado interno para poder predecir el valor de la
salida una vez que se conoce la función y los valores de las entradas.
Existen, no obstante, una serie de problemas que no pueden analizarse ni resolverse
utilizando sólo lógica combinacional. El ejemplo más sencillo de sistema cuya descripción
es imposible sin definir estados internos es el que simula el comportamiento de un bolígrafo.
Podemos admitir que es un sistema que posee una entrada con dos valores (pulsar o no
pulsar), y que responde sacando o metiendo la punta. Sin embargo, esta respuesta depende
del estado anterior (punta dentro o punta fuera). Si pulsamos estando la punta dentro,
ésta sale. En cambio si estaba fuera, entra. Decimos entonces que el bolígrafo es un
autómata de 2 estados.
Como ya hemos mencionado en el primer tema, existen 2 formas equivalentes de
definir o representar un autómata: la representación Moore y la representación Mealy
(figura 5.1). Como también hemos dicho, Fl y F2 son funciones combinacionales, que ya
sabemos manejar utilizando el Álgebra de Boole y los métodos de minimización descritos
en temas anteriores. En este tema, estudiaremos una de las formas de implementar el
bloque 6.: a través de "biestables" o "flip-flops".
Los biestables son los dispositivos secuenciales más sencillos, ya que sólo disponen de
dos estados internos distintos. Se les puede considerar memorias de 1 bit, puesto que son
celdas capaces de almacenar un "bit" de información (un estado interno corresponderá al
O lógico y el otro al 1 lógico), y de mantenerlo en tanto no se produzcan unas condiciones
1
2
x
TEMA 5. BIESTABLES
x
s
(a)
~ y
s
(b)
~ y
Figura 5.1: Definición de un autómata Mealy (a) y Moore (b).
determinadas en sus entradas. En este tema estudiaremos los distintos tipos de flip-
flops existentes y anali:.:aremos las distintas condiciones de disparo de cada uno de ellos
(activación del flip-flop o generación de un nuevo estado interno y salida en función de
sus entradas). Asimismo, veremos algunas de las aplicaciones más importantes de los
biestables.
5.2 FLIP-FLOP RS
Un flip-flop, en su estructura más simple, se puede construir con dos puertas NOR re-
alimentadas, tal y como se ilustra en la figura 5.2. Cada uno de los circuitos mostrados
conforma un flip-flop básico a partir del cual se construyen formas más sofisticadas de
biestables. La conexión cruzada de la salida de cada puerta a la entrada de la otra con-
stituye el lazo de realimentación imprescindible en todo dispositivo de "memoria". Cada
una de estas celdas básicas cuenta con dos salidas (Q y Q), y con dos entradas: set (5) y
reset (R). Este tipo de biestable se conoce con el nombre de flip-flop RS.
5.2.1 Funcionamiento del fl.ip-fl.opRS.
Las dos entradas de un biestable RS van a realizar las siguientes acciones (opuestas)
cuando son activadas:
- R (reset): poner la salida a cero (Q = O, Q = 1)
- 5 (set): poner la salida a uno (Q = 1, Q = O)
Si ninguna de las entradas está activa, el flip-flop mantendrá las salidas en el valor
previo. En el caso en que ambas entradas se activen simultáneamente Q y Q tomarán el
mismo valor, con lo que esta configuración normalmente no se utilizará.
5.2. FLIP-FLOP RS
R
s
Q
Q
3
Figura 5.2: Flip-flop RS básico con puertas NOR.
5.2.2 Flip-flop RS construido a partir de puertas NOR.
En este caso las dos entradas R y 8 son activas a nivel alto (a 1), ya que, como se
puede deducir rápidamente de la tabla de verdad de la puerta NOR, siempre que una de
las entradas sea 1, la salida será siempre O (independientemente del valor de la segunda
entrada). Esta característica es la que nos va a ayudar a analizar los circuitos con puertas
NOR realimentadas.
A B NOR
O O 1
O 1 O
1 O O
1 1 O
Se pueden dar los siguientes cuatro casos:
a) Si se activa el reset (R = 1, 8 = O) la salida será siempre cero (Q = O). La otra
puerta NOR tendrá entonces dos ceros como entradas, con lo que Q será uno.
R=l 
~ R=l
Q=O
1
: O
,
/ -
Q
8=0
Q=l
b) Si se activa el set mientras el reset está desactivada (8 = 1, R = O) entonces Q
siempre será cero (O). La otra puerta NOR tendrá, por lo tanto, dos ceros como entradas
con lo que su salida (Q) será uno.
4 TEMA 5. BIESTABLES
R=O
0p!º R=O
O.--J
J:JT
Q=l
1
I
/ -
0=0
8=1
I
'lo!
0=0
c) Si ambos, reset y set se activan (R = 1, S = 1) se hará (Q = O, Q = O). Esta
configuración normalmente no se utilizará, ya que, por norma general, nos interesará que
Q y Q sean siempre opuestas una a la otra. Es importante recalcar que el hecho de que
las salidas se llamen Q y Q no significa que una es la negada de la otra (y este caso, en
donde activamos reset y set a la vez, es una buena prueba de ello).
R=l
o
o
Q=O
d) Supongamos que ninguna de ambas entradas sean activas (R = O, S = O). En
esta situación el valor de las salidas de las puertas NOR no está determinada por estas
entradas, sino por el valor de los lazos de realimentación (Q y Q ). Serán posibles dos
configuraciones, que dependerán del valor previo de los lazos de realimentación y, por
tanto, de todas las entradas anteriores. Como se puede ver, en ambos casos se manten-
drá invariable la configuración inmediatamente anterior.
a) --t d)
R=l
1
o
Q=l
1
o
Q=l
b) --t d)
R=O
Q=l
R=O
O.--J
J:JT
Q=l
1
1
Q=O
S=O
I
fJ~
Q=O
5.2. FLIP-FLOP RS
s __ n _
5
RI n__
Q
Figura 5.3: Ejemplo de funcionamiento de un flip-flop RS (NOR).
Por lo tanto, las tablas de transiciones de estado para un flip-flop RS (NOR) son:
R
S
Qn Qn+l
O
O O
O
1 1 R
5Qn+l
1
O 1 O
O Qn
1
1 1 O
1 1
O
O O 1
O O
O1 O 1
1
No usado
1
O
No usado
1
1
No usado
Por tanto, cuando las entradas R y 5 están inactivas el flip-flop mantiene lél,configu-
ración alcanzada en la operación previa, es decir, el estado siguiente (Qn+l) será igual al
estado actual (Qn). De esta forma se guarda el bit de información previamente almace-
nado (1 si se ha actuado sobre el set ó O si se ha actuado sobre el reset).
5.2.3 Flip-flop RS (NOR) sincronizado por nivel.
El flip-flop básico, tal como se ha descrito hasta aquí, es un circuito secuencial asíncrono.
En cualquier sistema digital que incluya un cierto número de puertas y elementos de
este tipo prácticamente va a ser imposible garantizar que las señales R y 5 se presenten,
exactamente, en los instantes de tiempo requeridos para realizar las operaciones lógicas,
con lo que podemos perder fácilmente el control sobre el circuito. Esta dificultad puede
soslayarse permitiendo cambios de estado en el flip-flop sólo cuando lo indique un reloj
externo que, usualmente, será común para todo el sistema secuencia!. De este modo,
las señales de salida se sincronizarán con el reloj, no dependiendo las transiciones del
momento de llegada de las señales R y 5, mejorando por tanto la coordinación.
El flip-flop RS sincronizado por nivel (figura 5.4) consta de una celda RS básica con
puertas NOR, a la que se añaden dos puertas AND adicionales en la entrada. La señal de
reloj que realiza la sincronización es introducida en cada puerta AND, en tanto que las
señales R y S constituyen las otras entradas. De esta manera, las entradas a las puertas
6 TEMA 5. BIESTABLES
R
CLK
s
Q
Q
Figura 5.4: Flip-flop RS (NOR) sincronizado a nivel.
CLK
s _n_-~n_~íL
R l n__ ~n__
Q-~
Figura 5.5: Ejemplo de funcionamiento de un flip-flop RS (NOR) síncrono.
NOR (R'y S') sólo serán activas cuando el reloj esté en alta, con lo que las entradas R y
S seguirán determinando el estado final del flip-flop, pero en transiciones que únicamente
podrán ocurrir cuando el reloj las permita. Este dispositivo es también conocido como
latch RS.
Por tanto, la señal de reloj (CLK) que hemos introducimos genera el siguiente com-
portamiento del biestable (ver figura 5.5):
- Cuando el reloj está inactivo (CLK = O), el flip-flop mantiene su estado, independi-
entemente de los valores de las señales R y S.
- Cuando el reloj está activo (CLK = 1) entonces R'= R Y S'= S (figura 5.4), con lo
cual el flip-flop tendrá un funcionamiento similar a un RS asíncrono.
La tabla de transiciones de estado de un flip-flop RS síncrono es:
CLK R
S Qn+l
O
x
x Qn
1
O
O Qn
1
O
1 1
1
1
O O
1
1
1
No usado
5.2. FLIP-FLOP RS
Pr
7
R
CLK
s
Q
Q
Figura 5.6: Flip-flop RS (NOR) sincronizado a nivel y con entradas Pr y el asíncronas.
5.2.4 Flip-flop RS (NOR) sincronizado a nivel con entradas asín-
cronas de Preset y Clear.
En toda la descripción previa de los flip-flops RS sincronizados hemos partido de un cierto
estado inicial de flip-flop a partir del cual se realizan las transiciones sincronizadas por
la señal de reloj. En la práctica, es frecuentemente deseable disponer de los medios de
resetear (Q = O) o presetear (Q= 1) el flip-flop, independientemente de sus entradas R, S
o del reloj. Esto se consigue modificando el circuito dellatch en la forma ilustrada en la
figura 5.6. Las señales de Preset (Pr) y Clear (el) actúan de manera prioritaria e indepen-
diente de las otras líneas de entrada: si se activa Pr, Q pasará a 1, independientemente
del resto de las señales; y si se activa el, Q pasará a O.
El comportamiento del biestable completo se puede ver en las siguientes tablas de
transiciones de estado:
Pr
el
eLK
R
S Qn+l
1x
x
x O
Cl Qn+l 1
O x
x
x 1
O Qn 1
1x
x
x
No permitido
1 O O
O O
x
X Qn
O 1 O
O 1
O
O Qn
1
No permitido O
O 1
O
1 1
O 1
1
O O
O 1
1
1No usado
Pr y S ponen a l.
el y R ponen a O.
S y R sincronizadas (sólo tienen efecto cuando el reloj está activo).
Pr y Cl asíncronas (tienen efecto siempre).
En caso de contradicción tienen prioridad Pr y Cl.
8 TEMA 5. BIESTABLES
K
CLK
J
Q
Q
Figura 5.7: Flip-flop JK sincronizado a nivel.
5.3 OTROS FLIP-FLOPS SINCRONIZADOS POR
NIVEL
5.3.1 Flip-flop JK.
En el flip-flop RS vimos que existía un estado ambiguo como consecuencia de aplicar
simultáneamente dos niveles activos a las líneas R y S. La ambigiiedad surge como
consecuencia de resultar Q = Q a la salida, y por no conocer con certeza el estado del
flip-flop resultante si ambas entradas se hacen inactivas simultáneamente. El flip-flop
JK es un refinamiento del RS en el que el estado indeterminado queda, en este caso,
perfectamente definido. Las entradas J y K se comportan como las entradas S y R,
respectivamente; sin embargo, cuando se activan simultáneamente, el flip-flop conmuta al
estado complementario del que se encuentra.
En la figura 5.7 se muestra el esquema lógico de un flip-flop sincronizado a nivel. Como
se aprecia existe un lazo de realimentación de las salidas hacia la puerta AND de entrada,
para evitar la inestabilidad del RS. Cuando las entradas J y K aparecen simultánemanete
activas, la salida que en ese momento se encuentre a 1 hace que la salida de la puerta
AND correspondiente se ponga a 1 (la otra permanecerá en O), lo que hace bascular el
flip-flop en cualquier caso. Hay que hacer notar que esta conexión de realimentación del
flip-flop JK a la que hacíamos referencia hace que, si la señal de reloj permanece a 1
(siempre que J = K = 1), se producirán transiciones de forma continua e incontrolada,
con el resultado final de que no podemos predecir en que estado se va a quedar el flip-flop
al deshabilitar el reloj.
Para evitar este proceso indeseable, se deben diseñar flip-flops más complejos que, en
vez de activarse con un nivel alto del reloj, se activen o disparen en las transiciones del
reloj, lo que se denomina flancos. La ventaja de estos nuevos flip-fiops radica en que es
mucho más fácil y fiable controlar la transición de una señal (de O al, o viceversa) que
la duración de un pulso (con la precisión de decenas de nanosegundos necesaria).
El comportamiento de un fiip-fiop JK síncrono se puede resumir en la siguiente tabla:
5.3. OTROS FLIP-FLOPS SINCRONIZADOS POR NIVEL 9
K=l ----1 )-----
~Q=l K= 1 --;:::=:¡
r:-:=J
xr+- Q=l 11
:
~CLK=l 0=0
J=O
0=0
)-----
~Q=O K= 1 --;:::=:¡
~
P+- Q=O ~ CLK=l
J=O
Q=l
Figura 5.8: Flip-flop tipo JK sincronizado a nivel con entradas J = O Y K = 1.
CLK
J
K
Qn+l
O
X
XQn
---+
pone a 1 1
O
OQn
---+
pone a O 1
O
1 O
1
1
O 1
1
1
1cr
En la figura 5.7 puede observarse que cuando el reloj es cero se verifica que R'= S'= O,
con lo que el flip-flop mantiene el estado previamente almacenado, es decir, Qn+1 = Qn.
Veamos algunas transiciones debidas a la activación de J y K cuando el reloj está en un
nivel activo (CLK = 1). Obviamente, cuando J y K están desactivadas, es decir son
cero, el biestable mantiene el estado actual, lo mismo que ocurría con el flip-flop RS.
i) Supongamos el flip-flop en Q = 1 Y queremos ponerlo a O. Para ello necesitamos
activar (poner a 1) la entrada K. El comportamiento del biestable se puede observar en
la figura 5.8.
ii) Supongamos el flip-flop en Q = O Y queremos ponerlo a 1. Para ello necesitamos
acti var (poner al) la entrada J (figura 5.9).
iii) En la figura 5.10 vemos que pasa cuando J y K están activas simultáneamente (es
decir, J = K = 1). Suponemos que inicialmente el biestable tiene almacenado el estado
Q=1.
En este último caso, se puede observar que el flip-flop conmuta de estado continua-
mente, pasando alternativamente por las dos condiciones de salida estables (enmarcadas
en un rectángulo en la figura). Este fenómeno se debe a la realimentación de las salidas del
biestable a las puertas AND de entrada, que es precisamente lo que nos permite conmutar
10 TEMA 5. BIESTABLES
K=O ----1 }----- ~ Q=O K=O Q=O
CLK=O ----4 I I : ~ CLK= 1
J=l ~ [0=1 J=l --=:j "L-.J )o--t- 0=1
~
~
R'=O
K=O Q=O K=O Q=1
CLK=l ----4 11 : ~ CLK=l
J= 1 ---j ~ /' ¡ Q=O J=l --=:j "L-.J )o--t- 0=0
Figura 5.9: Flip-fiop tipo JK sincronizado a nivel con entradas J = 1 Y K = O.
de estado. El problema es que al conmutar de estado, dejamos de activar R'y pasamos a
activar S', con lo que volvemos a conmutar de estado, y así sucesivamente.
Las tablas de transiciones del fiip-fiop JK (completa y reducida) se pueden ver a
continuación. J
K
Qn
Qn+l
O
O O
O11 J
K
Qn+l
1
O O O
OQn
1
1O O
1O
OO 1 1
O 1
O11 1
1
cr
1
O 1
1
1O
A partir de la última podemos obtener la ecuación de transición para el fiip-fiop JK
que nos da el estado siguiente (QnH) en función de J, K Y el estado actual (Qn):
Qn+l
n
KQ 1 00 01 11 10
O 1
1 1 1 1
J=1
5.3. OTROS FLIP-FLOPS SINCRONIZADOS POR NIVEL
Q=1
~CLK=l
0=0
Q=1
11
J=1
K=1
CLK=1
J=1
0=0 K=1
~ CLK=1
0=0
Q=O
0=1
K=1
CLK=1
J=1
Q=O
K=1
CLK=1
J=1
0=1
~
0=0
K=1
CLK=1
J=1
Q=1
0-0
Figura 5.10: Flip-flop tipo JK sincronizado a nivel con entradas J = 1 Y K = 1.
12 TEMA 5. BIESTABLES
CLK
T
S'
Q
Q
Figura 5.11: Flip-flop tipo T sincronizado a nivel.
5.3.2 Flip-flop T.
El flip-flop tipo T es una versión simplificada del flip-flop JK. Tal y como se observa en
la figura 5.11, se obtiene directamente del JK conectando juntas las entradas J y K. La
designación "T" para este flip-flop es consecuencia de la característica de cambio de estado
de este flip-flop (toggle). Cuando T = 1, entonr,es J = K = 1 Y el flip-flop cambiará de
estado (cambiará de estado indefinidas veces mientras que el reloj sea 1).Cuando T = O,
entonces J = K = O Y el flip-flop permanece en el estado en el que se encontraba.
CLK
T
Qn+l
T
Qn
Qn+l
X
Qn
O
O O
O
Qn
O
11
1
e¡ 1
O 1
1
1O
La ecuación del estado siguiente (Qn+l) de un flip-flop T en función de sus entradas
actuales (T y Qn) es:
o I I 1
1 I 1
5.3.3 Flip-flop D.
El flip-flap tipo D (figura 5.12) es una modificación del flip-flap RS sincronizado por nivel.
La entrada D se aplica directamente a la entrada S, y su complemento a la entrada R.
5.4. FLIP-FLOPS SINCRONIZADOS O DISPARADOS A FLANCOS 13
CLK
D
Q
Q
Figura 5.12: Flip-flop D sincronizado a nivel.
El nombre de flip-flop D viene como consecuencia de su capacidad de transferir "datos"
desde la línea de entrada a la salida, siempre que los pulsos de reloj lo permitan. Cuando
D es O, se activa R' y el flip-flop pasa a Q = O; mientras que, cuando D es 1, se activa S'
y el flip-flop pasa a Q = 1. En ambos casos, la entrada se transmite a la salida. Es decir,
CLK D Qn+l
O X Qn
1 O O
111
5.4 FLIP-FLOPS SINCRONIZADOS O DISPARA-
DOS A FLANCOS
La posible modificación del estado de un flip-flop se debe a algún cambio en las señales
de entrada. Diremos que ese cambio ha "disparado" (trigger) el flip-flop. En flip-flops
asíncronos, es decir, en aquellos que no admiten una señal de reloj, se requiere simple-
mente un cambio de nivel en las señales de entrada para producir el disparo del flip-flop.
En flip-flops disparados por niveles, sólo es posible un cambio de estado en sincronismo
con uno de los niveles de la señal del reloj (típicamente el nivel 1). En un flip-flop dis-
parado por flancos, el cambio de estado sólo se permite en las transiciones de la señal
de reloj, o bien cuando la señal pasa del nivelO al nivel 1 (flip-flop disparado en flancos
posi tivos), o bien cuando la señal de reloj pasa de 1 a O (flip flap disparado en flancos
negativos).
Hay varias formas de construir flip-flops disparados por flancos. Nosotros sólo veremos
una de ellas: los flip-fiops master-slave.
5.4.1 Flip-flop master-slave.
Un flip-flop master-si ave se construye mediante dos flip-flops en cascada: un circuito sirve
como "maestro" (master) y el otro como "esclavo" (slave). El esquema de un biestable
RS de este tipo se muestra en la figura 5.13 y consta, como puede apreciarse, de dos
14 TEMA 5. BIESTABLES
TER
SLAVE
I R}
Ql~R2
Qz~ Q
CLKz
I
I S} Q} SZ
Qz~ Q
CLK
Figura 5.13: Flip-flop RS master-slave.
CLK¡
CLK2
s=s¡~
R=R¡
L
LF
Figura 5.14: Ejemplo de funcionamiento de un flip-flop RS master-slave.
flip-flops y un inversor. Cuando el reloj se halla en alta, el flip-flop master se encuentra
habilitado, con lo que la salida del mismo será función de las entradas R y 5, mientras
que el flip-flop slave se encuentra inhibido, con lo cual mantiene el estado. Cuando el reloj
pasa a baja, la situación es la inversa, de forma que el flip-flop master se encuentra aislado
del exterior (con lo que mantiene su estado), mientras que el flip-flop slave responde a las
señales procedentes del master.
De esta forma el fiip-flop master responde en el nivel alto de la señal de reloj y el
flip-flop slave responde en los niveles bajos. El circuito completo responderá justo en el
intervalo de transición del nivel alto al nivel bajo de la señal de reloj. Será, por tanto, un
flip-flop sincronizado con los flancos negativos de la señal de reloj. Con este montaje se
consigue también desacoplar las entradas del circuito a las salidas, y el efecto es que las
salidas no presentarán la inestabilidad inherente a las realimentaciones.
La combinación master-slave también se puede construir con el fiip-flop tipo D, sin
más que añadir un fiip-flop RS a su salida, tal y como indica la figura 5.15, dando lugar
a un flip-flop D master-slave.
El esquema anterior no es válido para los flip-flop JK y T, puesto que si J = K = 1
5.4. FLIP-FLOPS SINCRONIZADOS O DISPARADOS A FLANCOS
MASTER SLAVE
I D¡
Q¡~n Rz
~Q
Ql~
CLKz 8z
Qzf-- Q
CLK
Figura 5.15: Flip-flop D master-slave.
15
K
J
CLK
Q
Qz Q
MASTER SLAVE
Figura 5.16: Flip-flop JK master-slave.
ó T = 1 Y se habilita el reloj (CLK = 1) el flip-flop master conmutará un número
indeterminado de veces, con lo que no podemos saber cual va a ser su estado final cuando
el reloj se desactive (C LK = O). En este caso, la construcción de los flip-flops JK y
T master-slave requiere modificar su estructura interna. La modificación únicamente
consiste en realizar la realimentación no desde las salidas del biestable master, sino desde
las salidas del biestable slave, tal y como podemos observar en la figura 5.16 para un JK.
Para un flip-flop T master-slave sólo habría que hacer que J y K fuesen siempre iguales
a T.
Ahora, en un flip-flop JK master-slave (disparado a flancos), cuando las entradas J y
K son activas simultáneamente (J = K = 1), el flip-flop sólo podrá cambiar de estado
Figura 5.17: Funcionamiento de un flip-flop JK master-slave con entradas J = K = 1.
16 TEMA 5. BIESTABLES
una vez, a diferencia de lo que vimos anteriormente en el caso de un flip-flop disparado a
niveles, que cambia indefinidamente de estado mientras el reloj esté activo (CLK = 1).
EJERCICIOS
EJERCICIOS
17
5.1. Las formas de onda de la siguiente figura son aplicadas a un flip-flop RS dispara-
do por niveles y con entradas asíncronas de Preset y Clear. Obtener la forma Q
resultante suponiendo que inicialmente está en baja.
CLK ~
S
I I
Cl
n
5.2. (i) Construir un flip-flop JK a partir de un flip-flop D.
(ii) Construir un flip-flop JK a partir de un flip-flop T.
(iii) Construir un flip-flop JK a partir de un flip-flop RS.
cuz.
'5
 

I ---
~ 
11
,  i

'-l I
I
I I

 I

 

I 1
1 
I  1

'r'W
-
l[ I
1
1
I
1

I
1
.~:.-----

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

Trabajo sobre Flip Flop
Trabajo sobre Flip FlopTrabajo sobre Flip Flop
Trabajo sobre Flip Flop
 
David salazar flip flops
David salazar flip flopsDavid salazar flip flops
David salazar flip flops
 
Unidad1 sd2
Unidad1 sd2Unidad1 sd2
Unidad1 sd2
 
Biestable
Biestable  Biestable
Biestable
 
U1 flip flop
U1 flip flopU1 flip flop
U1 flip flop
 
Tipos de flip flop
Tipos de flip flopTipos de flip flop
Tipos de flip flop
 
Trabajo digitales flip flop
Trabajo digitales flip flopTrabajo digitales flip flop
Trabajo digitales flip flop
 
Sistemas digitales (primer informe)
Sistemas digitales (primer informe)Sistemas digitales (primer informe)
Sistemas digitales (primer informe)
 
Electronica1 3
Electronica1 3Electronica1 3
Electronica1 3
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
 
Tema4 lógica secuencial
Tema4 lógica secuencialTema4 lógica secuencial
Tema4 lógica secuencial
 
Circuitos secuenciales
Circuitos secuencialesCircuitos secuenciales
Circuitos secuenciales
 
Flip flop
Flip flopFlip flop
Flip flop
 
Tema5 ce-secuenciales
Tema5 ce-secuencialesTema5 ce-secuenciales
Tema5 ce-secuenciales
 
Disparo de los Flip Flop
Disparo de los Flip FlopDisparo de los Flip Flop
Disparo de los Flip Flop
 
Proyecto no7
Proyecto no7Proyecto no7
Proyecto no7
 
Principios de Diseño Lógico Secuencial
Principios de Diseño Lógico Secuencial Principios de Diseño Lógico Secuencial
Principios de Diseño Lógico Secuencial
 
Guia flip flop
Guia flip flopGuia flip flop
Guia flip flop
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
 
Sobre las síncronas
Sobre las síncronasSobre las síncronas
Sobre las síncronas
 

Similar a Biestables y flip-flops RS: memoria secuencial básica

Similar a Biestables y flip-flops RS: memoria secuencial básica (20)

Flip flops
Flip flopsFlip flops
Flip flops
 
Flip flop
Flip flopFlip flop
Flip flop
 
Tipos de flip flops
Tipos de flip flopsTipos de flip flops
Tipos de flip flops
 
Expo flip flop
Expo flip flopExpo flip flop
Expo flip flop
 
Flip flops2 presentación
Flip flops2 presentaciónFlip flops2 presentación
Flip flops2 presentación
 
Flip flops
Flip flopsFlip flops
Flip flops
 
Tema3 secuenciales
Tema3 secuencialesTema3 secuenciales
Tema3 secuenciales
 
Electrónica digital: Tema 3 Lógica secuencial, Registros de desplazamiento y ...
Electrónica digital: Tema 3 Lógica secuencial, Registros de desplazamiento y ...Electrónica digital: Tema 3 Lógica secuencial, Registros de desplazamiento y ...
Electrónica digital: Tema 3 Lógica secuencial, Registros de desplazamiento y ...
 
Diseno_logico_secuencial_con_vhdl.pdf
Diseno_logico_secuencial_con_vhdl.pdfDiseno_logico_secuencial_con_vhdl.pdf
Diseno_logico_secuencial_con_vhdl.pdf
 
Flip flops r-s, m-s, j-k, t
Flip flops r-s, m-s, j-k, tFlip flops r-s, m-s, j-k, t
Flip flops r-s, m-s, j-k, t
 
Trabajo del flip flop
Trabajo del flip flopTrabajo del flip flop
Trabajo del flip flop
 
Contadores (electronica)
Contadores (electronica)Contadores (electronica)
Contadores (electronica)
 
Flip Flop
Flip FlopFlip Flop
Flip Flop
 
Electrónica digital: Tema 3 sistemas secuenciales
Electrónica digital: Tema 3 sistemas secuencialesElectrónica digital: Tema 3 sistemas secuenciales
Electrónica digital: Tema 3 sistemas secuenciales
 
Flip flops basicos
Flip flops basicosFlip flops basicos
Flip flops basicos
 
Lógica Secuencial FF-Contad-Reg
Lógica Secuencial  FF-Contad-RegLógica Secuencial  FF-Contad-Reg
Lógica Secuencial FF-Contad-Reg
 
Lógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-RegLógica Secuencial - FF-Contad-Reg
Lógica Secuencial - FF-Contad-Reg
 
Flip flop
Flip flopFlip flop
Flip flop
 
practica digitales victor navea
practica digitales victor naveapractica digitales victor navea
practica digitales victor navea
 
Proyecto henry guedez
Proyecto henry guedezProyecto henry guedez
Proyecto henry guedez
 

Más de SANTIAGO PABLO ALBERTO

Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaSANTIAGO PABLO ALBERTO
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez SANTIAGO PABLO ALBERTO
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio PereiraSANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTSANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...SANTIAGO PABLO ALBERTO
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...SANTIAGO PABLO ALBERTO
 

Más de SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Último

LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdfLA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdfbcondort
 
Magnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMagnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMarceloQuisbert6
 
tema05 estabilidad en barras mecanicas.pdf
tema05 estabilidad en barras mecanicas.pdftema05 estabilidad en barras mecanicas.pdf
tema05 estabilidad en barras mecanicas.pdfvictoralejandroayala2
 
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptxNTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptxBRAYANJOSEPTSANJINEZ
 
Quimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdfQuimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdfs7yl3dr4g0n01
 
ARBOL DE CAUSAS ANA INVESTIGACION DE ACC.ppt
ARBOL DE CAUSAS ANA INVESTIGACION DE ACC.pptARBOL DE CAUSAS ANA INVESTIGACION DE ACC.ppt
ARBOL DE CAUSAS ANA INVESTIGACION DE ACC.pptMarianoSanchez70
 
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)ssuser563c56
 
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADOPERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADOFritz Rebaza Latoche
 
Sesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO CersaSesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO CersaXimenaFallaLecca1
 
TERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOL
TERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOLTERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOL
TERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOLdanilojaviersantiago
 
osciloscopios Mediciones Electricas ingenieria.pdf
osciloscopios Mediciones Electricas ingenieria.pdfosciloscopios Mediciones Electricas ingenieria.pdf
osciloscopios Mediciones Electricas ingenieria.pdfIvanRetambay
 
Principales aportes de la carrera de William Edwards Deming
Principales aportes de la carrera de William Edwards DemingPrincipales aportes de la carrera de William Edwards Deming
Principales aportes de la carrera de William Edwards DemingKevinCabrera96
 
Procesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptx
Procesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptxProcesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptx
Procesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptxJuanPablo452634
 
CLASe número 4 fotogrametria Y PARALAJE.pptx
CLASe número 4 fotogrametria Y PARALAJE.pptxCLASe número 4 fotogrametria Y PARALAJE.pptx
CLASe número 4 fotogrametria Y PARALAJE.pptxbingoscarlet
 
Manual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdfManual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdfedsonzav8
 
TAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdf
TAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdfTAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdf
TAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdfAntonioGonzalezIzqui
 
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdfCristhianZetaNima
 
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023RonaldoPaucarMontes
 
Tinciones simples en el laboratorio de microbiología
Tinciones simples en el laboratorio de microbiologíaTinciones simples en el laboratorio de microbiología
Tinciones simples en el laboratorio de microbiologíaAlexanderimanolLencr
 
clases de porcinos generales de porcinos
clases de porcinos generales de porcinosclases de porcinos generales de porcinos
clases de porcinos generales de porcinosDayanaCarolinaAP
 

Último (20)

LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdfLA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
LA APLICACIÓN DE LAS PROPIEDADES TEXTUALES A LOS TEXTOS.pdf
 
Magnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMagnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principios
 
tema05 estabilidad en barras mecanicas.pdf
tema05 estabilidad en barras mecanicas.pdftema05 estabilidad en barras mecanicas.pdf
tema05 estabilidad en barras mecanicas.pdf
 
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptxNTP- Determinación de Cloruros  en suelos y agregados (1) (1).pptx
NTP- Determinación de Cloruros en suelos y agregados (1) (1).pptx
 
Quimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdfQuimica Raymond Chang 12va Edicion___pdf
Quimica Raymond Chang 12va Edicion___pdf
 
ARBOL DE CAUSAS ANA INVESTIGACION DE ACC.ppt
ARBOL DE CAUSAS ANA INVESTIGACION DE ACC.pptARBOL DE CAUSAS ANA INVESTIGACION DE ACC.ppt
ARBOL DE CAUSAS ANA INVESTIGACION DE ACC.ppt
 
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
 
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADOPERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
 
Sesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO CersaSesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
 
TERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOL
TERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOLTERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOL
TERMODINAMICA YUNUS SEPTIMA EDICION, ESPAÑOL
 
osciloscopios Mediciones Electricas ingenieria.pdf
osciloscopios Mediciones Electricas ingenieria.pdfosciloscopios Mediciones Electricas ingenieria.pdf
osciloscopios Mediciones Electricas ingenieria.pdf
 
Principales aportes de la carrera de William Edwards Deming
Principales aportes de la carrera de William Edwards DemingPrincipales aportes de la carrera de William Edwards Deming
Principales aportes de la carrera de William Edwards Deming
 
Procesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptx
Procesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptxProcesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptx
Procesos-de-la-Industria-Alimentaria-Envasado-en-la-Produccion-de-Alimentos.pptx
 
CLASe número 4 fotogrametria Y PARALAJE.pptx
CLASe número 4 fotogrametria Y PARALAJE.pptxCLASe número 4 fotogrametria Y PARALAJE.pptx
CLASe número 4 fotogrametria Y PARALAJE.pptx
 
Manual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdfManual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdf
 
TAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdf
TAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdfTAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdf
TAREA 8 CORREDOR INTEROCEÁNICO DEL PAÍS.pdf
 
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
04. Sistema de fuerzas equivalentes II - UCV 2024 II.pdf
 
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
COMPEDIOS ESTADISTICOS DE PERU EN EL 2023
 
Tinciones simples en el laboratorio de microbiología
Tinciones simples en el laboratorio de microbiologíaTinciones simples en el laboratorio de microbiología
Tinciones simples en el laboratorio de microbiología
 
clases de porcinos generales de porcinos
clases de porcinos generales de porcinosclases de porcinos generales de porcinos
clases de porcinos generales de porcinos
 

Biestables y flip-flops RS: memoria secuencial básica

  • 1. Tema 5 BIESTABLES 5.1 CIRCUITOS SECUENCIALES Al igual que el Álgebra de Boole era el modelo matemático de la lógica combinacional, la Teoría de Autómatas (de número de estados finito) es el modelo matemático de la lógica secuencial. En lógica combinacional se representan todas aquellas funciones en las que, para conocer el valor de la salida en un determinado instante, sólo hace falta conocer los valores de las entradas en ese instante, es decir, el circuito no tiene memoria y, por consiguiente, no es necesario definir su estado interno para poder predecir el valor de la salida una vez que se conoce la función y los valores de las entradas. Existen, no obstante, una serie de problemas que no pueden analizarse ni resolverse utilizando sólo lógica combinacional. El ejemplo más sencillo de sistema cuya descripción es imposible sin definir estados internos es el que simula el comportamiento de un bolígrafo. Podemos admitir que es un sistema que posee una entrada con dos valores (pulsar o no pulsar), y que responde sacando o metiendo la punta. Sin embargo, esta respuesta depende del estado anterior (punta dentro o punta fuera). Si pulsamos estando la punta dentro, ésta sale. En cambio si estaba fuera, entra. Decimos entonces que el bolígrafo es un autómata de 2 estados. Como ya hemos mencionado en el primer tema, existen 2 formas equivalentes de definir o representar un autómata: la representación Moore y la representación Mealy (figura 5.1). Como también hemos dicho, Fl y F2 son funciones combinacionales, que ya sabemos manejar utilizando el Álgebra de Boole y los métodos de minimización descritos en temas anteriores. En este tema, estudiaremos una de las formas de implementar el bloque 6.: a través de "biestables" o "flip-flops". Los biestables son los dispositivos secuenciales más sencillos, ya que sólo disponen de dos estados internos distintos. Se les puede considerar memorias de 1 bit, puesto que son celdas capaces de almacenar un "bit" de información (un estado interno corresponderá al O lógico y el otro al 1 lógico), y de mantenerlo en tanto no se produzcan unas condiciones 1
  • 2. 2 x TEMA 5. BIESTABLES x s (a) ~ y s (b) ~ y Figura 5.1: Definición de un autómata Mealy (a) y Moore (b). determinadas en sus entradas. En este tema estudiaremos los distintos tipos de flip- flops existentes y anali:.:aremos las distintas condiciones de disparo de cada uno de ellos (activación del flip-flop o generación de un nuevo estado interno y salida en función de sus entradas). Asimismo, veremos algunas de las aplicaciones más importantes de los biestables. 5.2 FLIP-FLOP RS Un flip-flop, en su estructura más simple, se puede construir con dos puertas NOR re- alimentadas, tal y como se ilustra en la figura 5.2. Cada uno de los circuitos mostrados conforma un flip-flop básico a partir del cual se construyen formas más sofisticadas de biestables. La conexión cruzada de la salida de cada puerta a la entrada de la otra con- stituye el lazo de realimentación imprescindible en todo dispositivo de "memoria". Cada una de estas celdas básicas cuenta con dos salidas (Q y Q), y con dos entradas: set (5) y reset (R). Este tipo de biestable se conoce con el nombre de flip-flop RS. 5.2.1 Funcionamiento del fl.ip-fl.opRS. Las dos entradas de un biestable RS van a realizar las siguientes acciones (opuestas) cuando son activadas: - R (reset): poner la salida a cero (Q = O, Q = 1) - 5 (set): poner la salida a uno (Q = 1, Q = O) Si ninguna de las entradas está activa, el flip-flop mantendrá las salidas en el valor previo. En el caso en que ambas entradas se activen simultáneamente Q y Q tomarán el mismo valor, con lo que esta configuración normalmente no se utilizará.
  • 3. 5.2. FLIP-FLOP RS R s Q Q 3 Figura 5.2: Flip-flop RS básico con puertas NOR. 5.2.2 Flip-flop RS construido a partir de puertas NOR. En este caso las dos entradas R y 8 son activas a nivel alto (a 1), ya que, como se puede deducir rápidamente de la tabla de verdad de la puerta NOR, siempre que una de las entradas sea 1, la salida será siempre O (independientemente del valor de la segunda entrada). Esta característica es la que nos va a ayudar a analizar los circuitos con puertas NOR realimentadas. A B NOR O O 1 O 1 O 1 O O 1 1 O Se pueden dar los siguientes cuatro casos: a) Si se activa el reset (R = 1, 8 = O) la salida será siempre cero (Q = O). La otra puerta NOR tendrá entonces dos ceros como entradas, con lo que Q será uno. R=l ~ R=l Q=O 1 : O , / - Q 8=0 Q=l b) Si se activa el set mientras el reset está desactivada (8 = 1, R = O) entonces Q siempre será cero (O). La otra puerta NOR tendrá, por lo tanto, dos ceros como entradas con lo que su salida (Q) será uno.
  • 4. 4 TEMA 5. BIESTABLES R=O 0p!º R=O O.--J J:JT Q=l 1 I / - 0=0 8=1 I 'lo! 0=0 c) Si ambos, reset y set se activan (R = 1, S = 1) se hará (Q = O, Q = O). Esta configuración normalmente no se utilizará, ya que, por norma general, nos interesará que Q y Q sean siempre opuestas una a la otra. Es importante recalcar que el hecho de que las salidas se llamen Q y Q no significa que una es la negada de la otra (y este caso, en donde activamos reset y set a la vez, es una buena prueba de ello). R=l o o Q=O d) Supongamos que ninguna de ambas entradas sean activas (R = O, S = O). En esta situación el valor de las salidas de las puertas NOR no está determinada por estas entradas, sino por el valor de los lazos de realimentación (Q y Q ). Serán posibles dos configuraciones, que dependerán del valor previo de los lazos de realimentación y, por tanto, de todas las entradas anteriores. Como se puede ver, en ambos casos se manten- drá invariable la configuración inmediatamente anterior. a) --t d) R=l 1 o Q=l 1 o Q=l b) --t d) R=O Q=l R=O O.--J J:JT Q=l 1 1 Q=O S=O I fJ~ Q=O
  • 5. 5.2. FLIP-FLOP RS s __ n _ 5 RI n__ Q Figura 5.3: Ejemplo de funcionamiento de un flip-flop RS (NOR). Por lo tanto, las tablas de transiciones de estado para un flip-flop RS (NOR) son: R S Qn Qn+l O O O O 1 1 R 5Qn+l 1 O 1 O O Qn 1 1 1 O 1 1 O O O 1 O O O1 O 1 1 No usado 1 O No usado 1 1 No usado Por tanto, cuando las entradas R y 5 están inactivas el flip-flop mantiene lél,configu- ración alcanzada en la operación previa, es decir, el estado siguiente (Qn+l) será igual al estado actual (Qn). De esta forma se guarda el bit de información previamente almace- nado (1 si se ha actuado sobre el set ó O si se ha actuado sobre el reset). 5.2.3 Flip-flop RS (NOR) sincronizado por nivel. El flip-flop básico, tal como se ha descrito hasta aquí, es un circuito secuencial asíncrono. En cualquier sistema digital que incluya un cierto número de puertas y elementos de este tipo prácticamente va a ser imposible garantizar que las señales R y 5 se presenten, exactamente, en los instantes de tiempo requeridos para realizar las operaciones lógicas, con lo que podemos perder fácilmente el control sobre el circuito. Esta dificultad puede soslayarse permitiendo cambios de estado en el flip-flop sólo cuando lo indique un reloj externo que, usualmente, será común para todo el sistema secuencia!. De este modo, las señales de salida se sincronizarán con el reloj, no dependiendo las transiciones del momento de llegada de las señales R y 5, mejorando por tanto la coordinación. El flip-flop RS sincronizado por nivel (figura 5.4) consta de una celda RS básica con puertas NOR, a la que se añaden dos puertas AND adicionales en la entrada. La señal de reloj que realiza la sincronización es introducida en cada puerta AND, en tanto que las señales R y S constituyen las otras entradas. De esta manera, las entradas a las puertas
  • 6. 6 TEMA 5. BIESTABLES R CLK s Q Q Figura 5.4: Flip-flop RS (NOR) sincronizado a nivel. CLK s _n_-~n_~íL R l n__ ~n__ Q-~ Figura 5.5: Ejemplo de funcionamiento de un flip-flop RS (NOR) síncrono. NOR (R'y S') sólo serán activas cuando el reloj esté en alta, con lo que las entradas R y S seguirán determinando el estado final del flip-flop, pero en transiciones que únicamente podrán ocurrir cuando el reloj las permita. Este dispositivo es también conocido como latch RS. Por tanto, la señal de reloj (CLK) que hemos introducimos genera el siguiente com- portamiento del biestable (ver figura 5.5): - Cuando el reloj está inactivo (CLK = O), el flip-flop mantiene su estado, independi- entemente de los valores de las señales R y S. - Cuando el reloj está activo (CLK = 1) entonces R'= R Y S'= S (figura 5.4), con lo cual el flip-flop tendrá un funcionamiento similar a un RS asíncrono. La tabla de transiciones de estado de un flip-flop RS síncrono es: CLK R S Qn+l O x x Qn 1 O O Qn 1 O 1 1 1 1 O O 1 1 1 No usado
  • 7. 5.2. FLIP-FLOP RS Pr 7 R CLK s Q Q Figura 5.6: Flip-flop RS (NOR) sincronizado a nivel y con entradas Pr y el asíncronas. 5.2.4 Flip-flop RS (NOR) sincronizado a nivel con entradas asín- cronas de Preset y Clear. En toda la descripción previa de los flip-flops RS sincronizados hemos partido de un cierto estado inicial de flip-flop a partir del cual se realizan las transiciones sincronizadas por la señal de reloj. En la práctica, es frecuentemente deseable disponer de los medios de resetear (Q = O) o presetear (Q= 1) el flip-flop, independientemente de sus entradas R, S o del reloj. Esto se consigue modificando el circuito dellatch en la forma ilustrada en la figura 5.6. Las señales de Preset (Pr) y Clear (el) actúan de manera prioritaria e indepen- diente de las otras líneas de entrada: si se activa Pr, Q pasará a 1, independientemente del resto de las señales; y si se activa el, Q pasará a O. El comportamiento del biestable completo se puede ver en las siguientes tablas de transiciones de estado: Pr el eLK R S Qn+l 1x x x O Cl Qn+l 1 O x x x 1 O Qn 1 1x x x No permitido 1 O O O O x X Qn O 1 O O 1 O O Qn 1 No permitido O O 1 O 1 1 O 1 1 O O O 1 1 1No usado Pr y S ponen a l. el y R ponen a O. S y R sincronizadas (sólo tienen efecto cuando el reloj está activo). Pr y Cl asíncronas (tienen efecto siempre). En caso de contradicción tienen prioridad Pr y Cl.
  • 8. 8 TEMA 5. BIESTABLES K CLK J Q Q Figura 5.7: Flip-flop JK sincronizado a nivel. 5.3 OTROS FLIP-FLOPS SINCRONIZADOS POR NIVEL 5.3.1 Flip-flop JK. En el flip-flop RS vimos que existía un estado ambiguo como consecuencia de aplicar simultáneamente dos niveles activos a las líneas R y S. La ambigiiedad surge como consecuencia de resultar Q = Q a la salida, y por no conocer con certeza el estado del flip-flop resultante si ambas entradas se hacen inactivas simultáneamente. El flip-flop JK es un refinamiento del RS en el que el estado indeterminado queda, en este caso, perfectamente definido. Las entradas J y K se comportan como las entradas S y R, respectivamente; sin embargo, cuando se activan simultáneamente, el flip-flop conmuta al estado complementario del que se encuentra. En la figura 5.7 se muestra el esquema lógico de un flip-flop sincronizado a nivel. Como se aprecia existe un lazo de realimentación de las salidas hacia la puerta AND de entrada, para evitar la inestabilidad del RS. Cuando las entradas J y K aparecen simultánemanete activas, la salida que en ese momento se encuentre a 1 hace que la salida de la puerta AND correspondiente se ponga a 1 (la otra permanecerá en O), lo que hace bascular el flip-flop en cualquier caso. Hay que hacer notar que esta conexión de realimentación del flip-flop JK a la que hacíamos referencia hace que, si la señal de reloj permanece a 1 (siempre que J = K = 1), se producirán transiciones de forma continua e incontrolada, con el resultado final de que no podemos predecir en que estado se va a quedar el flip-flop al deshabilitar el reloj. Para evitar este proceso indeseable, se deben diseñar flip-flops más complejos que, en vez de activarse con un nivel alto del reloj, se activen o disparen en las transiciones del reloj, lo que se denomina flancos. La ventaja de estos nuevos flip-fiops radica en que es mucho más fácil y fiable controlar la transición de una señal (de O al, o viceversa) que la duración de un pulso (con la precisión de decenas de nanosegundos necesaria). El comportamiento de un fiip-fiop JK síncrono se puede resumir en la siguiente tabla:
  • 9. 5.3. OTROS FLIP-FLOPS SINCRONIZADOS POR NIVEL 9 K=l ----1 )----- ~Q=l K= 1 --;:::=:¡ r:-:=J xr+- Q=l 11 : ~CLK=l 0=0 J=O 0=0 )----- ~Q=O K= 1 --;:::=:¡ ~ P+- Q=O ~ CLK=l J=O Q=l Figura 5.8: Flip-flop tipo JK sincronizado a nivel con entradas J = O Y K = 1. CLK J K Qn+l O X XQn ---+ pone a 1 1 O OQn ---+ pone a O 1 O 1 O 1 1 O 1 1 1 1cr En la figura 5.7 puede observarse que cuando el reloj es cero se verifica que R'= S'= O, con lo que el flip-flop mantiene el estado previamente almacenado, es decir, Qn+1 = Qn. Veamos algunas transiciones debidas a la activación de J y K cuando el reloj está en un nivel activo (CLK = 1). Obviamente, cuando J y K están desactivadas, es decir son cero, el biestable mantiene el estado actual, lo mismo que ocurría con el flip-flop RS. i) Supongamos el flip-flop en Q = 1 Y queremos ponerlo a O. Para ello necesitamos activar (poner a 1) la entrada K. El comportamiento del biestable se puede observar en la figura 5.8. ii) Supongamos el flip-flop en Q = O Y queremos ponerlo a 1. Para ello necesitamos acti var (poner al) la entrada J (figura 5.9). iii) En la figura 5.10 vemos que pasa cuando J y K están activas simultáneamente (es decir, J = K = 1). Suponemos que inicialmente el biestable tiene almacenado el estado Q=1. En este último caso, se puede observar que el flip-flop conmuta de estado continua- mente, pasando alternativamente por las dos condiciones de salida estables (enmarcadas en un rectángulo en la figura). Este fenómeno se debe a la realimentación de las salidas del biestable a las puertas AND de entrada, que es precisamente lo que nos permite conmutar
  • 10. 10 TEMA 5. BIESTABLES K=O ----1 }----- ~ Q=O K=O Q=O CLK=O ----4 I I : ~ CLK= 1 J=l ~ [0=1 J=l --=:j "L-.J )o--t- 0=1 ~ ~ R'=O K=O Q=O K=O Q=1 CLK=l ----4 11 : ~ CLK=l J= 1 ---j ~ /' ¡ Q=O J=l --=:j "L-.J )o--t- 0=0 Figura 5.9: Flip-fiop tipo JK sincronizado a nivel con entradas J = 1 Y K = O. de estado. El problema es que al conmutar de estado, dejamos de activar R'y pasamos a activar S', con lo que volvemos a conmutar de estado, y así sucesivamente. Las tablas de transiciones del fiip-fiop JK (completa y reducida) se pueden ver a continuación. J K Qn Qn+l O O O O11 J K Qn+l 1 O O O OQn 1 1O O 1O OO 1 1 O 1 O11 1 1 cr 1 O 1 1 1O A partir de la última podemos obtener la ecuación de transición para el fiip-fiop JK que nos da el estado siguiente (QnH) en función de J, K Y el estado actual (Qn): Qn+l n KQ 1 00 01 11 10 O 1 1 1 1 1
  • 11. J=1 5.3. OTROS FLIP-FLOPS SINCRONIZADOS POR NIVEL Q=1 ~CLK=l 0=0 Q=1 11 J=1 K=1 CLK=1 J=1 0=0 K=1 ~ CLK=1 0=0 Q=O 0=1 K=1 CLK=1 J=1 Q=O K=1 CLK=1 J=1 0=1 ~ 0=0 K=1 CLK=1 J=1 Q=1 0-0 Figura 5.10: Flip-flop tipo JK sincronizado a nivel con entradas J = 1 Y K = 1.
  • 12. 12 TEMA 5. BIESTABLES CLK T S' Q Q Figura 5.11: Flip-flop tipo T sincronizado a nivel. 5.3.2 Flip-flop T. El flip-flop tipo T es una versión simplificada del flip-flop JK. Tal y como se observa en la figura 5.11, se obtiene directamente del JK conectando juntas las entradas J y K. La designación "T" para este flip-flop es consecuencia de la característica de cambio de estado de este flip-flop (toggle). Cuando T = 1, entonr,es J = K = 1 Y el flip-flop cambiará de estado (cambiará de estado indefinidas veces mientras que el reloj sea 1).Cuando T = O, entonces J = K = O Y el flip-flop permanece en el estado en el que se encontraba. CLK T Qn+l T Qn Qn+l X Qn O O O O Qn O 11 1 e¡ 1 O 1 1 1O La ecuación del estado siguiente (Qn+l) de un flip-flop T en función de sus entradas actuales (T y Qn) es: o I I 1 1 I 1 5.3.3 Flip-flop D. El flip-flap tipo D (figura 5.12) es una modificación del flip-flap RS sincronizado por nivel. La entrada D se aplica directamente a la entrada S, y su complemento a la entrada R.
  • 13. 5.4. FLIP-FLOPS SINCRONIZADOS O DISPARADOS A FLANCOS 13 CLK D Q Q Figura 5.12: Flip-flop D sincronizado a nivel. El nombre de flip-flop D viene como consecuencia de su capacidad de transferir "datos" desde la línea de entrada a la salida, siempre que los pulsos de reloj lo permitan. Cuando D es O, se activa R' y el flip-flop pasa a Q = O; mientras que, cuando D es 1, se activa S' y el flip-flop pasa a Q = 1. En ambos casos, la entrada se transmite a la salida. Es decir, CLK D Qn+l O X Qn 1 O O 111 5.4 FLIP-FLOPS SINCRONIZADOS O DISPARA- DOS A FLANCOS La posible modificación del estado de un flip-flop se debe a algún cambio en las señales de entrada. Diremos que ese cambio ha "disparado" (trigger) el flip-flop. En flip-flops asíncronos, es decir, en aquellos que no admiten una señal de reloj, se requiere simple- mente un cambio de nivel en las señales de entrada para producir el disparo del flip-flop. En flip-flops disparados por niveles, sólo es posible un cambio de estado en sincronismo con uno de los niveles de la señal del reloj (típicamente el nivel 1). En un flip-flop dis- parado por flancos, el cambio de estado sólo se permite en las transiciones de la señal de reloj, o bien cuando la señal pasa del nivelO al nivel 1 (flip-flop disparado en flancos posi tivos), o bien cuando la señal de reloj pasa de 1 a O (flip flap disparado en flancos negativos). Hay varias formas de construir flip-flops disparados por flancos. Nosotros sólo veremos una de ellas: los flip-fiops master-slave. 5.4.1 Flip-flop master-slave. Un flip-flop master-si ave se construye mediante dos flip-flops en cascada: un circuito sirve como "maestro" (master) y el otro como "esclavo" (slave). El esquema de un biestable RS de este tipo se muestra en la figura 5.13 y consta, como puede apreciarse, de dos
  • 14. 14 TEMA 5. BIESTABLES TER SLAVE I R} Ql~R2 Qz~ Q CLKz I I S} Q} SZ Qz~ Q CLK Figura 5.13: Flip-flop RS master-slave. CLK¡ CLK2 s=s¡~ R=R¡ L LF Figura 5.14: Ejemplo de funcionamiento de un flip-flop RS master-slave. flip-flops y un inversor. Cuando el reloj se halla en alta, el flip-flop master se encuentra habilitado, con lo que la salida del mismo será función de las entradas R y 5, mientras que el flip-flop slave se encuentra inhibido, con lo cual mantiene el estado. Cuando el reloj pasa a baja, la situación es la inversa, de forma que el flip-flop master se encuentra aislado del exterior (con lo que mantiene su estado), mientras que el flip-flop slave responde a las señales procedentes del master. De esta forma el fiip-flop master responde en el nivel alto de la señal de reloj y el flip-flop slave responde en los niveles bajos. El circuito completo responderá justo en el intervalo de transición del nivel alto al nivel bajo de la señal de reloj. Será, por tanto, un flip-flop sincronizado con los flancos negativos de la señal de reloj. Con este montaje se consigue también desacoplar las entradas del circuito a las salidas, y el efecto es que las salidas no presentarán la inestabilidad inherente a las realimentaciones. La combinación master-slave también se puede construir con el fiip-flop tipo D, sin más que añadir un fiip-flop RS a su salida, tal y como indica la figura 5.15, dando lugar a un flip-flop D master-slave. El esquema anterior no es válido para los flip-flop JK y T, puesto que si J = K = 1
  • 15. 5.4. FLIP-FLOPS SINCRONIZADOS O DISPARADOS A FLANCOS MASTER SLAVE I D¡ Q¡~n Rz ~Q Ql~ CLKz 8z Qzf-- Q CLK Figura 5.15: Flip-flop D master-slave. 15 K J CLK Q Qz Q MASTER SLAVE Figura 5.16: Flip-flop JK master-slave. ó T = 1 Y se habilita el reloj (CLK = 1) el flip-flop master conmutará un número indeterminado de veces, con lo que no podemos saber cual va a ser su estado final cuando el reloj se desactive (C LK = O). En este caso, la construcción de los flip-flops JK y T master-slave requiere modificar su estructura interna. La modificación únicamente consiste en realizar la realimentación no desde las salidas del biestable master, sino desde las salidas del biestable slave, tal y como podemos observar en la figura 5.16 para un JK. Para un flip-flop T master-slave sólo habría que hacer que J y K fuesen siempre iguales a T. Ahora, en un flip-flop JK master-slave (disparado a flancos), cuando las entradas J y K son activas simultáneamente (J = K = 1), el flip-flop sólo podrá cambiar de estado Figura 5.17: Funcionamiento de un flip-flop JK master-slave con entradas J = K = 1.
  • 16. 16 TEMA 5. BIESTABLES una vez, a diferencia de lo que vimos anteriormente en el caso de un flip-flop disparado a niveles, que cambia indefinidamente de estado mientras el reloj esté activo (CLK = 1).
  • 17. EJERCICIOS EJERCICIOS 17 5.1. Las formas de onda de la siguiente figura son aplicadas a un flip-flop RS dispara- do por niveles y con entradas asíncronas de Preset y Clear. Obtener la forma Q resultante suponiendo que inicialmente está en baja. CLK ~ S I I Cl n 5.2. (i) Construir un flip-flop JK a partir de un flip-flop D. (ii) Construir un flip-flop JK a partir de un flip-flop T. (iii) Construir un flip-flop JK a partir de un flip-flop RS. cuz. '5 I --- ~ 11 , i '-l I I I I I I 1 1 I 1 'r'W - l[ I 1 1 I 1 I 1 .~:.-----