SlideShare una empresa de Scribd logo
1 de 6
Descargar para leer sin conexión
PRIMER PARCIAL:
MSS + ASM
1
011000010111001101100001011011100111101001100001
01101010011001010110000101101110
Sistemas Digitales II
EXAMEN PROPUESTO
SISTEMAS DIGITALES II
vasanza
NOTA:
2
011000010111001101100001011011100111101001100001
01101010011001010110000101101110
y0y2y1 00 01 11 10
0 1 1 𝐼𝑛 0
1 1 𝐼𝑛 𝐼𝑛 𝐼𝑛
* Para todos los ejercicios usar la siguiente asignación de códigos de estados.
* Utilizar Variable Entrante al Mapa (VEM) o Variable Saliente al Mapa (VSM) de ser
necesario.
y0,Iny2,y1 00 01 11 10
00 1 1 0 0
01 1 1 1 0
11 1 1 0 1
10 1 0 1 0
y1y2 0 1
0 1 𝑦0 . 𝐼𝑛
1 𝑦0 + 𝐼𝑛 𝑦0Ꚛ𝐼𝑛
Asignación de Códigos de Estado.
y1y0y3y2 00 01 11 10
00 A E M I
01 B F N J
11 D H P L
10 C G O K
Asignación de Códigos de Estado.
y0y2y1 00 01 11 10
0 A C G E
1 B D H F
vasanzaSistemas Digitales II
3
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
2.) Se tiene una MSS cuyo funcionamiento se representa por el circuito del decodificador
de estado siguiente y salida:
vasanzaSistemas Digitales II
4
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
Se pide:
• Hacer los Mapas de Karnaugh del decodificador de estado siguiente y salida de la
MSS (10 puntos).
• Escriba el código VHDL completo de la MSS (10 puntos).
vasanza
MSS-Master
µ
𝜑
ok
Sistemas Digitales II
5
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
3.) Se tiene una MSS cuyo funcionamiento se representa por los Mapas de Karnaugh del
decodificador de estado siguiente y salida:
vasanza
MSS-Master
δ
λ Out2
Out1
Decodificador de Estado Siguiente: Y3,Y2,Y1,Y0
y1 y0  y3 y2 00 01 11 10
00 A: 0, 0, δ, λ E: 1, 0, δ, λ M: തδ+തλ, തδ+തλ, δꚚλ, δꚚλ I: 1, δꚚλ, 0, δതλ
01 B: 0, 1, δλ , δꚚλ F: 1, 0, δ, λ N: തδ+തλ, തδ+തλ, δꚚλ, തδ തλ J: 1, δꚚλ, 0, δ+തλ
11 D: 0, δꚚλ, 1, δ+തλ H: 1, 0, δ, λ P: തδ+തλ, തδ+തλ, തδ തλ, തδ തλ L: 1, δꚚλ, 1, തδ+λ
10 C: 0, 1, δλ, δꚚλ G: 1, 0, δ, λ O: തδ+തλ, തδ+തλ, തδ തλ, δꚚλ K: 1, δꚚλ, 1, തδλ
Decodificador de Salida: Out1, Out2:
y1 yoy3 y2 00 01 11 10
00 A: 0,0 E: 0, 0 M: 0, 0 I: δതλ, തδλ
01 B: δꚚλ, δλ F: 0, 0 N: 0, 0 J: δതλ, തδλ
11 D: δതλ, തδλ H: 0, 0 P: 0, 0 L: δതλ, തδλ
10 C: δꚚλ, δλ G: 0, 0 O: 0, 0 K: δതλ, തδλ
Sistemas Digitales II
6
01101010011001010110000101101110
011000010111001101100001011011100111101001100001
Se pide:
• Hacer el diagrama de estados primitivo de la MSS utilizando el siguiente formato:
δ, λ/Out1, Out2 (10 puntos).
• Hacer el diagrama de estados reducido (10 puntos).
vasanzaSistemas Digitales II

Más contenido relacionado

Más de Victor Asanza

⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
Victor Asanza
 

Más de Victor Asanza (20)

⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
 
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...
⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...
⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)
 
⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...
⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...
⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...
 

Último

Pasos para enviar una tarea en SIANET - sólo estudiantes.pdf
Pasos para enviar una tarea en SIANET - sólo estudiantes.pdfPasos para enviar una tarea en SIANET - sólo estudiantes.pdf
Pasos para enviar una tarea en SIANET - sólo estudiantes.pdf
NELLYKATTY
 
ANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdf
ANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdfANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdf
ANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdf
lvela1316
 

Último (20)

TRABAJO CON TRES O MAS FRACCIONES PARA NIÑOS
TRABAJO CON TRES O MAS FRACCIONES PARA NIÑOSTRABAJO CON TRES O MAS FRACCIONES PARA NIÑOS
TRABAJO CON TRES O MAS FRACCIONES PARA NIÑOS
 
2. Entornos Virtuales de Aprendizaje.pptx
2. Entornos Virtuales de Aprendizaje.pptx2. Entornos Virtuales de Aprendizaje.pptx
2. Entornos Virtuales de Aprendizaje.pptx
 
ENUNCIADOS CUESTIONARIO S9 GEOLOGIA Y MINERALOGIA - GENERAL.docx
ENUNCIADOS CUESTIONARIO S9 GEOLOGIA Y MINERALOGIA - GENERAL.docxENUNCIADOS CUESTIONARIO S9 GEOLOGIA Y MINERALOGIA - GENERAL.docx
ENUNCIADOS CUESTIONARIO S9 GEOLOGIA Y MINERALOGIA - GENERAL.docx
 
Cerebelo Anatomía y fisiología Clase presencial
Cerebelo Anatomía y fisiología Clase presencialCerebelo Anatomía y fisiología Clase presencial
Cerebelo Anatomía y fisiología Clase presencial
 
METODOS DE EXTRACCIÓN E IDENTIFICACIÓN - 2024.pdf
METODOS DE EXTRACCIÓN E IDENTIFICACIÓN - 2024.pdfMETODOS DE EXTRACCIÓN E IDENTIFICACIÓN - 2024.pdf
METODOS DE EXTRACCIÓN E IDENTIFICACIÓN - 2024.pdf
 
Vínculo afectivo (labor expositivo de grupo )
Vínculo afectivo (labor expositivo de grupo )Vínculo afectivo (labor expositivo de grupo )
Vínculo afectivo (labor expositivo de grupo )
 
Lección 1: Los complementos del Verbo ...
Lección 1: Los complementos del Verbo ...Lección 1: Los complementos del Verbo ...
Lección 1: Los complementos del Verbo ...
 
DESCRIPCIÓN-LOS-DILEMAS-DEL-CONOCIMIENTO.pptx
DESCRIPCIÓN-LOS-DILEMAS-DEL-CONOCIMIENTO.pptxDESCRIPCIÓN-LOS-DILEMAS-DEL-CONOCIMIENTO.pptx
DESCRIPCIÓN-LOS-DILEMAS-DEL-CONOCIMIENTO.pptx
 
Seguridad y virus informáticos 12°B 2024
Seguridad y virus informáticos 12°B 2024Seguridad y virus informáticos 12°B 2024
Seguridad y virus informáticos 12°B 2024
 
PROBLEMAS DE GENÉTICA CON ÁRBOLES GENEALÓGICOS.pdf
PROBLEMAS DE GENÉTICA  CON ÁRBOLES GENEALÓGICOS.pdfPROBLEMAS DE GENÉTICA  CON ÁRBOLES GENEALÓGICOS.pdf
PROBLEMAS DE GENÉTICA CON ÁRBOLES GENEALÓGICOS.pdf
 
BIENESTAR TOTAL - LA EXPERIENCIA DEL CLIENTE CON ATR
BIENESTAR TOTAL - LA EXPERIENCIA DEL CLIENTE CON ATRBIENESTAR TOTAL - LA EXPERIENCIA DEL CLIENTE CON ATR
BIENESTAR TOTAL - LA EXPERIENCIA DEL CLIENTE CON ATR
 
Época colonial: vestimenta, costumbres y juegos de la época
Época colonial: vestimenta, costumbres y juegos de la épocaÉpoca colonial: vestimenta, costumbres y juegos de la época
Época colonial: vestimenta, costumbres y juegos de la época
 
el poder del estado en el siglo XXI.pptx
el poder del estado en el siglo XXI.pptxel poder del estado en el siglo XXI.pptx
el poder del estado en el siglo XXI.pptx
 
PATRONES DE REFERENCIA, CRITERIOS Y DIAGNOSTICO Angeles.pptx
PATRONES DE REFERENCIA, CRITERIOS Y DIAGNOSTICO Angeles.pptxPATRONES DE REFERENCIA, CRITERIOS Y DIAGNOSTICO Angeles.pptx
PATRONES DE REFERENCIA, CRITERIOS Y DIAGNOSTICO Angeles.pptx
 
cuadernillo_cuentos_de_los_valores_elprofe20 (1).docx
cuadernillo_cuentos_de_los_valores_elprofe20 (1).docxcuadernillo_cuentos_de_los_valores_elprofe20 (1).docx
cuadernillo_cuentos_de_los_valores_elprofe20 (1).docx
 
Pasos para enviar una tarea en SIANET - sólo estudiantes.pdf
Pasos para enviar una tarea en SIANET - sólo estudiantes.pdfPasos para enviar una tarea en SIANET - sólo estudiantes.pdf
Pasos para enviar una tarea en SIANET - sólo estudiantes.pdf
 
LA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIA
LA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIALA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIA
LA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIA
 
LA ILIADA Y LA ODISEA.LITERATURA UNIVERSAL
LA ILIADA Y LA ODISEA.LITERATURA UNIVERSALLA ILIADA Y LA ODISEA.LITERATURA UNIVERSAL
LA ILIADA Y LA ODISEA.LITERATURA UNIVERSAL
 
Power Point: Luz desde el santuario.pptx
Power Point: Luz desde el santuario.pptxPower Point: Luz desde el santuario.pptx
Power Point: Luz desde el santuario.pptx
 
ANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdf
ANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdfANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdf
ANTOLOGIA COMPLETA ANITA LA ABEJITA PARA LA LECTOESCRITURA EN PRIMER GRADO.pdf
 

⭐⭐⭐⭐⭐ Examen Sistemas Digitales MSS (1er Parcial)

  • 1. PRIMER PARCIAL: MSS + ASM 1 011000010111001101100001011011100111101001100001 01101010011001010110000101101110 Sistemas Digitales II EXAMEN PROPUESTO SISTEMAS DIGITALES II vasanza
  • 2. NOTA: 2 011000010111001101100001011011100111101001100001 01101010011001010110000101101110 y0y2y1 00 01 11 10 0 1 1 𝐼𝑛 0 1 1 𝐼𝑛 𝐼𝑛 𝐼𝑛 * Para todos los ejercicios usar la siguiente asignación de códigos de estados. * Utilizar Variable Entrante al Mapa (VEM) o Variable Saliente al Mapa (VSM) de ser necesario. y0,Iny2,y1 00 01 11 10 00 1 1 0 0 01 1 1 1 0 11 1 1 0 1 10 1 0 1 0 y1y2 0 1 0 1 𝑦0 . 𝐼𝑛 1 𝑦0 + 𝐼𝑛 𝑦0Ꚛ𝐼𝑛 Asignación de Códigos de Estado. y1y0y3y2 00 01 11 10 00 A E M I 01 B F N J 11 D H P L 10 C G O K Asignación de Códigos de Estado. y0y2y1 00 01 11 10 0 A C G E 1 B D H F vasanzaSistemas Digitales II
  • 3. 3 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 2.) Se tiene una MSS cuyo funcionamiento se representa por el circuito del decodificador de estado siguiente y salida: vasanzaSistemas Digitales II
  • 4. 4 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 Se pide: • Hacer los Mapas de Karnaugh del decodificador de estado siguiente y salida de la MSS (10 puntos). • Escriba el código VHDL completo de la MSS (10 puntos). vasanza MSS-Master µ 𝜑 ok Sistemas Digitales II
  • 5. 5 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 3.) Se tiene una MSS cuyo funcionamiento se representa por los Mapas de Karnaugh del decodificador de estado siguiente y salida: vasanza MSS-Master δ λ Out2 Out1 Decodificador de Estado Siguiente: Y3,Y2,Y1,Y0 y1 y0 y3 y2 00 01 11 10 00 A: 0, 0, δ, λ E: 1, 0, δ, λ M: തδ+തλ, തδ+തλ, δꚚλ, δꚚλ I: 1, δꚚλ, 0, δതλ 01 B: 0, 1, δλ , δꚚλ F: 1, 0, δ, λ N: തδ+തλ, തδ+തλ, δꚚλ, തδ തλ J: 1, δꚚλ, 0, δ+തλ 11 D: 0, δꚚλ, 1, δ+തλ H: 1, 0, δ, λ P: തδ+തλ, തδ+തλ, തδ തλ, തδ തλ L: 1, δꚚλ, 1, തδ+λ 10 C: 0, 1, δλ, δꚚλ G: 1, 0, δ, λ O: തδ+തλ, തδ+തλ, തδ തλ, δꚚλ K: 1, δꚚλ, 1, തδλ Decodificador de Salida: Out1, Out2: y1 yoy3 y2 00 01 11 10 00 A: 0,0 E: 0, 0 M: 0, 0 I: δതλ, തδλ 01 B: δꚚλ, δλ F: 0, 0 N: 0, 0 J: δതλ, തδλ 11 D: δതλ, തδλ H: 0, 0 P: 0, 0 L: δതλ, തδλ 10 C: δꚚλ, δλ G: 0, 0 O: 0, 0 K: δതλ, തδλ Sistemas Digitales II
  • 6. 6 01101010011001010110000101101110 011000010111001101100001011011100111101001100001 Se pide: • Hacer el diagrama de estados primitivo de la MSS utilizando el siguiente formato: δ, λ/Out1, Out2 (10 puntos). • Hacer el diagrama de estados reducido (10 puntos). vasanzaSistemas Digitales II