SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
vasanza 1
FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES
(FESD)
LECCIÓN_C4 2P
Fecha: 2020/09/04 I PAO 2020-2021
Nombre: _________________________________________________ Paralelo: __________
Problema #1: (x%)
Dado el siguiente circuito, encontrar la expresión booleana que define el comportamiento de la señal de
salida F sin minimizar, luego reducir la expresión booleana usando mapas de Karnaugh (A, B, C, D)
agrupando unos. Finalmente, seleccionar cuál de las siguientes opciones es la correcta:
a. 𝑪𝑫 + 𝑨̅ 𝑩 + 𝑩̅ 𝑫̅
b. 𝑨𝑫 + 𝑨̅ 𝑩 + 𝑩̅ 𝑫̅
c. 𝑫 + 𝑨̅ 𝑩 + 𝑩̅
d. 𝑫 + 𝑨̅ 𝑩𝑪 + 𝑩̅ 𝑨
vasanza 2
Resolución:
𝐹 𝑀=(𝐴̅ + 𝐵̅ + 𝐷)(𝐴 + 𝐵 + 𝐶 + 𝐷̅)(𝐴̅ + 𝐵̅ + 𝐶)(𝐴̅ + 𝐶 + 𝐷̅)(𝐴̅ + 𝐵̅ + 𝐶 + 𝐷̅)
C, D  A, B 00 01 11 10
00 1 1 0 1
01 0 1 0 0
11 1 1 1 1
10 1 1 0 1
𝑭 𝒎=𝑪𝑫 + 𝑨̅ 𝑩 + 𝑩̅ 𝑫̅
Problema #2: (x%)
Realizar conversiones de base:
1) (34𝐹, 2𝐴)16 𝑎 ()10
a) 847,164
b) 860,32
c) 164,84
d) AF,3
2) (95,32)10 𝑎 ()8
a) 243,137
b) 317,243
c) 137,243
d) 243,317
3) (125,765)8 𝑎 ()16
a) 44,FA8
b) 55,FA8
c) 55,765
d) 44,765
4) (𝐵𝐸, 1𝐶)16 𝑎 ()8
a) 276,07
b) 572,07
c) 276,15
d) 572,15
Problema #3: (x%)
Convertir a binario y resolver (usar 2cns):
1) (113)4 − (65)7
a) 10111
b) -11000
c) -1010001
d) 1010001
2) (1𝐴𝐵)12 − (17𝐵)14
a) -1111000001
b) 111111
c) -110110
d) 1111000001
3) (101101)2 − (11011)3
a) -10111101
b) 1,01000011
c) 1,10111101
d) 01000011
Problema #4: (x%)
4) (0110 0111) 𝑋𝑆3 + (101111)2
a) (0111 0001) 𝐵𝐶𝐷
b) (1011 0100) 𝑋𝑆3
c) (1011 0100) 𝐵𝐶𝐷
d) (1000 0001) 𝑋𝑆3
5) (11011011) 𝐺𝑟𝑎𝑦 + (971)10
a) (1010 1011 0111) 𝐵𝐶𝐷
b) (10001011101) 𝐺𝑟𝑎𝑦
c) (11001110011) 𝐺𝑟𝑎𝑦
d) (1000100010111)2
6) (𝐹𝐴9)16 + (773)8
a) (4516) 𝐵𝐶𝐷
b) (0100 0101 0001 0000) 𝐵𝐶𝐷
c) (0100 0101 0001 0110) 𝑋𝑆3
d) (0100 0101 0001 0110) 𝐵𝐶𝐷
𝐶𝐷
𝐴̅ 𝐵
𝑩̅ 𝑫̅
vasanza 3
Problema #5: (x%)
Dado el siguiente circuito, encontrar la expresión booleana que define el comportamiento de la señal de
salida F sin minimizar, luego reducir la expresión booleana usando mapas de Karnaugh (A, B, C, D)
agrupando unos. Finalmente, seleccionar cuál de las siguientes opciones es la correcta:
a. 𝑨𝑪 + 𝑨̅ 𝑪̅ + 𝑩̅ 𝑫 + 𝑩𝑫̅
b. 𝑨𝑪̅ + 𝑨̅ 𝑪 + 𝑩̅ 𝑫 + 𝑩𝑫̅
c. 𝑨𝑪 + 𝑨̅ 𝑪̅ + 𝑩̅ 𝑫̅ + 𝑩𝑫
d. 𝑨𝑪̅ + 𝑨̅ 𝑪 + 𝑩̅ 𝑫̅ + 𝑩𝑫
Resolución:
F=𝐴𝐵𝐶̅ 𝐷̅ + 𝐴̅ 𝐵̅ 𝐶𝐷 + 𝐴𝐵̅ 𝐶̅ 𝐷 + 𝐴̅ 𝐵 𝐶𝐷̅ + 𝐴𝐵𝐶 + 𝐴 + 𝐵 + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅ + 𝐴𝐵̅ 𝐶 + 𝐴 + 𝐵̅ + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅
- Por Morgan tenemos:
𝐴 + 𝐵 + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅ = 𝐴̅ 𝐵̅ 𝐶̅
𝐴 + 𝐵̅ + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅ = 𝐴̅ 𝐵 𝐶̅
F=𝐴𝐵𝐶̅ 𝐷̅ + 𝐴̅ 𝐵̅ 𝐶𝐷 + 𝐴𝐵̅ 𝐶̅ 𝐷 + 𝐴̅ 𝐵 𝐶𝐷̅ + 𝐴𝐵𝐶 + 𝐴̅ 𝐵̅ 𝐶̅ + 𝐴𝐵̅ 𝐶 + 𝐴̅ 𝐵 𝐶̅
vasanza 4
C, D  A, B 00 01 11 10
00 1 1 1 0
01 1 1 0 1
11 1 0 1 1
10 0 1 1 1
F=𝑨𝑪 + 𝑨̅ 𝑪̅ + 𝑩̅ 𝑫 + 𝑩𝑫̅ = 𝑨ʘ𝑪 + 𝑩⨁𝑫
𝐴̅ 𝐶̅
𝐴𝐶
𝐵𝐷̅
𝐵̅ 𝐷

Más contenido relacionado

La actualidad más candente

⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) AVictor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) BVictor Asanza
 
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 C
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 C⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 C
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 CVictor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)Victor Asanza
 
Leyes de exponentes 1º
Leyes de exponentes  1ºLeyes de exponentes  1º
Leyes de exponentes 1ºceliana31
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 A⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 AVictor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...Victor Asanza
 
Taller mapa k
Taller mapa kTaller mapa k
Taller mapa kanaluci_a
 
Multiplicación por 2 cifras
Multiplicación por 2 cifrasMultiplicación por 2 cifras
Multiplicación por 2 cifrasFlor
 
Previos febrero
Previos febreroPrevios febrero
Previos febreroflor2510
 
C1 rm teoría de exponentes i - 5º
C1 rm   teoría de exponentes i - 5ºC1 rm   teoría de exponentes i - 5º
C1 rm teoría de exponentes i - 5ºbrisagaela29
 

La actualidad más candente (18)

⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
 
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO 2do PARCIAL (2018 2do T...
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 C
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 C⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 C
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 C
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er PARCIAL (2018 2do Término)
 
Leyes de exponentes 1º
Leyes de exponentes  1ºLeyes de exponentes  1º
Leyes de exponentes 1º
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 A⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1) C5 A
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
 
hola a todos
hola a todoshola a todos
hola a todos
 
Taller mapa k
Taller mapa kTaller mapa k
Taller mapa k
 
2 s3 factorización
2 s3 factorización2 s3 factorización
2 s3 factorización
 
Multiplicación por 2 cifras
Multiplicación por 2 cifrasMultiplicación por 2 cifras
Multiplicación por 2 cifras
 
Previos febrero
Previos febreroPrevios febrero
Previos febrero
 
C1 rm teoría de exponentes i - 5º
C1 rm   teoría de exponentes i - 5ºC1 rm   teoría de exponentes i - 5º
C1 rm teoría de exponentes i - 5º
 
Yajaira ojeda asg1
Yajaira ojeda asg1Yajaira ojeda asg1
Yajaira ojeda asg1
 

Similar a ⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap 4 - 2do Parcial (2020 PAO 1) B

Guía de Matemática I.pdf
Guía de Matemática I.pdfGuía de Matemática I.pdf
Guía de Matemática I.pdfNoeliaZanassi1
 
Razonamiento Matemático
Razonamiento Matemático Razonamiento Matemático
Razonamiento Matemático antozequiel
 
Problemas de repaso de Álgebra ADUNI ccesa007
Problemas de repaso de Álgebra  ADUNI ccesa007Problemas de repaso de Álgebra  ADUNI ccesa007
Problemas de repaso de Álgebra ADUNI ccesa007Demetrio Ccesa Rayme
 
Fracciones parciales (1)
Fracciones parciales (1)Fracciones parciales (1)
Fracciones parciales (1)Railef
 
El enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuacionesEl enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuacionesaetruiz
 
Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores SANTIAGO PABLO ALBERTO
 
Apuntes metodos-numericos-aproximacion-funcional-e-interpolacion
Apuntes metodos-numericos-aproximacion-funcional-e-interpolacionApuntes metodos-numericos-aproximacion-funcional-e-interpolacion
Apuntes metodos-numericos-aproximacion-funcional-e-interpolacionmiguelcasa
 
El enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuacionesEl enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuacionesaetruiz
 
Actividad obligatoria 4 A
Actividad obligatoria 4 AActividad obligatoria 4 A
Actividad obligatoria 4 AFernando Sosa
 
Actividad obligatoria 4 A
Actividad obligatoria 4 AActividad obligatoria 4 A
Actividad obligatoria 4 AFernando Sosa
 
Varios problemas de cálculo numérico (teoría del MEF-FEM)
Varios problemas de cálculo numérico (teoría del MEF-FEM)Varios problemas de cálculo numérico (teoría del MEF-FEM)
Varios problemas de cálculo numérico (teoría del MEF-FEM)José Manuel Gómez Vega
 

Similar a ⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap 4 - 2do Parcial (2020 PAO 1) B (20)

Guía de Matemática I.pdf
Guía de Matemática I.pdfGuía de Matemática I.pdf
Guía de Matemática I.pdf
 
Razonamiento Matemático
Razonamiento Matemático Razonamiento Matemático
Razonamiento Matemático
 
Problemas de repaso de Álgebra ADUNI ccesa007
Problemas de repaso de Álgebra  ADUNI ccesa007Problemas de repaso de Álgebra  ADUNI ccesa007
Problemas de repaso de Álgebra ADUNI ccesa007
 
Fracciones parciales
Fracciones parcialesFracciones parciales
Fracciones parciales
 
Fracciones parciales (1)
Fracciones parciales (1)Fracciones parciales (1)
Fracciones parciales (1)
 
Fracciones parciales
Fracciones parcialesFracciones parciales
Fracciones parciales
 
El enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuacionesEl enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuaciones
 
Taller1
Taller1Taller1
Taller1
 
Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores
 
Apuntes metodos-numericos-aproximacion-funcional-e-interpolacion
Apuntes metodos-numericos-aproximacion-funcional-e-interpolacionApuntes metodos-numericos-aproximacion-funcional-e-interpolacion
Apuntes metodos-numericos-aproximacion-funcional-e-interpolacion
 
El enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuacionesEl enfoque gráfico como alternativa para la solución de inecuaciones
El enfoque gráfico como alternativa para la solución de inecuaciones
 
Metodo de Horner
Metodo de HornerMetodo de Horner
Metodo de Horner
 
Practico1 swp gonzalezd
Practico1 swp gonzalezdPractico1 swp gonzalezd
Practico1 swp gonzalezd
 
Inecuaciones
InecuacionesInecuaciones
Inecuaciones
 
3eso3.2boletinfunciones
3eso3.2boletinfunciones3eso3.2boletinfunciones
3eso3.2boletinfunciones
 
Actividad obligatoria 4 A
Actividad obligatoria 4 AActividad obligatoria 4 A
Actividad obligatoria 4 A
 
calculo en fenómenos naturales y procesos sociales
calculo en fenómenos naturales y procesos socialescalculo en fenómenos naturales y procesos sociales
calculo en fenómenos naturales y procesos sociales
 
Actividad obligatoria 4 A
Actividad obligatoria 4 AActividad obligatoria 4 A
Actividad obligatoria 4 A
 
Varios problemas de cálculo numérico (teoría del MEF-FEM)
Varios problemas de cálculo numérico (teoría del MEF-FEM)Varios problemas de cálculo numérico (teoría del MEF-FEM)
Varios problemas de cálculo numérico (teoría del MEF-FEM)
 
trabajo final
trabajo finaltrabajo final
trabajo final
 

Más de Victor Asanza

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor AsanzaVictor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning AlgorithmsVictor Asanza
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption PredictionVictor Asanza
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...Victor Asanza
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB Victor Asanza
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6Victor Asanza
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)Victor Asanza
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...Victor Asanza
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring StationVictor Asanza
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry PiVictor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)Victor Asanza
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)Victor Asanza
 

Más de Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
 

Último

Unidad II Doctrina de la Iglesia 1 parte
Unidad II Doctrina de la Iglesia 1 parteUnidad II Doctrina de la Iglesia 1 parte
Unidad II Doctrina de la Iglesia 1 parteJuan Hernandez
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptxJunkotantik
 
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIARAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIACarlos Campaña Montenegro
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosCesarFernandez937857
 
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdfDemetrio Ccesa Rayme
 
PRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptx
PRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptxPRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptx
PRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptxinformacionasapespu
 
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.pptDE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.pptELENA GALLARDO PAÚLS
 
texto argumentativo, ejemplos y ejercicios prácticos
texto argumentativo, ejemplos y ejercicios prácticostexto argumentativo, ejemplos y ejercicios prácticos
texto argumentativo, ejemplos y ejercicios prácticosisabeltrejoros
 
GLOSAS Y PALABRAS ACTO 2 DE ABRIL 2024.docx
GLOSAS  Y PALABRAS ACTO 2 DE ABRIL 2024.docxGLOSAS  Y PALABRAS ACTO 2 DE ABRIL 2024.docx
GLOSAS Y PALABRAS ACTO 2 DE ABRIL 2024.docxAleParedes11
 
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxOLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxjosetrinidadchavez
 
Historia y técnica del collage en el arte
Historia y técnica del collage en el arteHistoria y técnica del collage en el arte
Historia y técnica del collage en el arteRaquel Martín Contreras
 
RETO MES DE ABRIL .............................docx
RETO MES DE ABRIL .............................docxRETO MES DE ABRIL .............................docx
RETO MES DE ABRIL .............................docxAna Fernandez
 
6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docxCeciliaGuerreroGonza1
 
Introducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo SostenibleIntroducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo SostenibleJonathanCovena1
 
Herramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdfHerramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdfMARIAPAULAMAHECHAMOR
 

Último (20)

Unidad II Doctrina de la Iglesia 1 parte
Unidad II Doctrina de la Iglesia 1 parteUnidad II Doctrina de la Iglesia 1 parte
Unidad II Doctrina de la Iglesia 1 parte
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptx
 
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIARAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
RAIZ CUADRADA Y CUBICA PARA NIÑOS DE PRIMARIA
 
Informatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos BásicosInformatica Generalidades - Conceptos Básicos
Informatica Generalidades - Conceptos Básicos
 
La Trampa De La Felicidad. Russ-Harris.pdf
La Trampa De La Felicidad. Russ-Harris.pdfLa Trampa De La Felicidad. Russ-Harris.pdf
La Trampa De La Felicidad. Russ-Harris.pdf
 
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 2do Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 2do Grado Educacion Primaria 2024 Ccesa007.pdf
 
PRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptx
PRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptxPRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptx
PRIMER SEMESTRE 2024 ASAMBLEA DEPARTAMENTAL.pptx
 
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.pptDE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
DE LAS OLIMPIADAS GRIEGAS A LAS DEL MUNDO MODERNO.ppt
 
texto argumentativo, ejemplos y ejercicios prácticos
texto argumentativo, ejemplos y ejercicios prácticostexto argumentativo, ejemplos y ejercicios prácticos
texto argumentativo, ejemplos y ejercicios prácticos
 
Repaso Pruebas CRECE PR 2024. Ciencia General
Repaso Pruebas CRECE PR 2024. Ciencia GeneralRepaso Pruebas CRECE PR 2024. Ciencia General
Repaso Pruebas CRECE PR 2024. Ciencia General
 
GLOSAS Y PALABRAS ACTO 2 DE ABRIL 2024.docx
GLOSAS  Y PALABRAS ACTO 2 DE ABRIL 2024.docxGLOSAS  Y PALABRAS ACTO 2 DE ABRIL 2024.docx
GLOSAS Y PALABRAS ACTO 2 DE ABRIL 2024.docx
 
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptxOLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
OLIMPIADA DEL CONOCIMIENTO INFANTIL 2024.pptx
 
Historia y técnica del collage en el arte
Historia y técnica del collage en el arteHistoria y técnica del collage en el arte
Historia y técnica del collage en el arte
 
Sesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdfSesión de clase: Defendamos la verdad.pdf
Sesión de clase: Defendamos la verdad.pdf
 
RETO MES DE ABRIL .............................docx
RETO MES DE ABRIL .............................docxRETO MES DE ABRIL .............................docx
RETO MES DE ABRIL .............................docx
 
Unidad 4 | Teorías de las Comunicación | MCDI
Unidad 4 | Teorías de las Comunicación | MCDIUnidad 4 | Teorías de las Comunicación | MCDI
Unidad 4 | Teorías de las Comunicación | MCDI
 
6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
6° SEM30 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
 
Introducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo SostenibleIntroducción:Los objetivos de Desarrollo Sostenible
Introducción:Los objetivos de Desarrollo Sostenible
 
Power Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptxPower Point: "Defendamos la verdad".pptx
Power Point: "Defendamos la verdad".pptx
 
Herramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdfHerramientas de Inteligencia Artificial.pdf
Herramientas de Inteligencia Artificial.pdf
 

⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap 4 - 2do Parcial (2020 PAO 1) B

  • 1. vasanza 1 FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES (FESD) LECCIÓN_C4 2P Fecha: 2020/09/04 I PAO 2020-2021 Nombre: _________________________________________________ Paralelo: __________ Problema #1: (x%) Dado el siguiente circuito, encontrar la expresión booleana que define el comportamiento de la señal de salida F sin minimizar, luego reducir la expresión booleana usando mapas de Karnaugh (A, B, C, D) agrupando unos. Finalmente, seleccionar cuál de las siguientes opciones es la correcta: a. 𝑪𝑫 + 𝑨̅ 𝑩 + 𝑩̅ 𝑫̅ b. 𝑨𝑫 + 𝑨̅ 𝑩 + 𝑩̅ 𝑫̅ c. 𝑫 + 𝑨̅ 𝑩 + 𝑩̅ d. 𝑫 + 𝑨̅ 𝑩𝑪 + 𝑩̅ 𝑨
  • 2. vasanza 2 Resolución: 𝐹 𝑀=(𝐴̅ + 𝐵̅ + 𝐷)(𝐴 + 𝐵 + 𝐶 + 𝐷̅)(𝐴̅ + 𝐵̅ + 𝐶)(𝐴̅ + 𝐶 + 𝐷̅)(𝐴̅ + 𝐵̅ + 𝐶 + 𝐷̅) C, D A, B 00 01 11 10 00 1 1 0 1 01 0 1 0 0 11 1 1 1 1 10 1 1 0 1 𝑭 𝒎=𝑪𝑫 + 𝑨̅ 𝑩 + 𝑩̅ 𝑫̅ Problema #2: (x%) Realizar conversiones de base: 1) (34𝐹, 2𝐴)16 𝑎 ()10 a) 847,164 b) 860,32 c) 164,84 d) AF,3 2) (95,32)10 𝑎 ()8 a) 243,137 b) 317,243 c) 137,243 d) 243,317 3) (125,765)8 𝑎 ()16 a) 44,FA8 b) 55,FA8 c) 55,765 d) 44,765 4) (𝐵𝐸, 1𝐶)16 𝑎 ()8 a) 276,07 b) 572,07 c) 276,15 d) 572,15 Problema #3: (x%) Convertir a binario y resolver (usar 2cns): 1) (113)4 − (65)7 a) 10111 b) -11000 c) -1010001 d) 1010001 2) (1𝐴𝐵)12 − (17𝐵)14 a) -1111000001 b) 111111 c) -110110 d) 1111000001 3) (101101)2 − (11011)3 a) -10111101 b) 1,01000011 c) 1,10111101 d) 01000011 Problema #4: (x%) 4) (0110 0111) 𝑋𝑆3 + (101111)2 a) (0111 0001) 𝐵𝐶𝐷 b) (1011 0100) 𝑋𝑆3 c) (1011 0100) 𝐵𝐶𝐷 d) (1000 0001) 𝑋𝑆3 5) (11011011) 𝐺𝑟𝑎𝑦 + (971)10 a) (1010 1011 0111) 𝐵𝐶𝐷 b) (10001011101) 𝐺𝑟𝑎𝑦 c) (11001110011) 𝐺𝑟𝑎𝑦 d) (1000100010111)2 6) (𝐹𝐴9)16 + (773)8 a) (4516) 𝐵𝐶𝐷 b) (0100 0101 0001 0000) 𝐵𝐶𝐷 c) (0100 0101 0001 0110) 𝑋𝑆3 d) (0100 0101 0001 0110) 𝐵𝐶𝐷 𝐶𝐷 𝐴̅ 𝐵 𝑩̅ 𝑫̅
  • 3. vasanza 3 Problema #5: (x%) Dado el siguiente circuito, encontrar la expresión booleana que define el comportamiento de la señal de salida F sin minimizar, luego reducir la expresión booleana usando mapas de Karnaugh (A, B, C, D) agrupando unos. Finalmente, seleccionar cuál de las siguientes opciones es la correcta: a. 𝑨𝑪 + 𝑨̅ 𝑪̅ + 𝑩̅ 𝑫 + 𝑩𝑫̅ b. 𝑨𝑪̅ + 𝑨̅ 𝑪 + 𝑩̅ 𝑫 + 𝑩𝑫̅ c. 𝑨𝑪 + 𝑨̅ 𝑪̅ + 𝑩̅ 𝑫̅ + 𝑩𝑫 d. 𝑨𝑪̅ + 𝑨̅ 𝑪 + 𝑩̅ 𝑫̅ + 𝑩𝑫 Resolución: F=𝐴𝐵𝐶̅ 𝐷̅ + 𝐴̅ 𝐵̅ 𝐶𝐷 + 𝐴𝐵̅ 𝐶̅ 𝐷 + 𝐴̅ 𝐵 𝐶𝐷̅ + 𝐴𝐵𝐶 + 𝐴 + 𝐵 + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅ + 𝐴𝐵̅ 𝐶 + 𝐴 + 𝐵̅ + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅ - Por Morgan tenemos: 𝐴 + 𝐵 + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅ = 𝐴̅ 𝐵̅ 𝐶̅ 𝐴 + 𝐵̅ + 𝐶̅̅̅̅̅̅̅̅̅̅̅̅̅ = 𝐴̅ 𝐵 𝐶̅ F=𝐴𝐵𝐶̅ 𝐷̅ + 𝐴̅ 𝐵̅ 𝐶𝐷 + 𝐴𝐵̅ 𝐶̅ 𝐷 + 𝐴̅ 𝐵 𝐶𝐷̅ + 𝐴𝐵𝐶 + 𝐴̅ 𝐵̅ 𝐶̅ + 𝐴𝐵̅ 𝐶 + 𝐴̅ 𝐵 𝐶̅
  • 4. vasanza 4 C, D A, B 00 01 11 10 00 1 1 1 0 01 1 1 0 1 11 1 0 1 1 10 0 1 1 1 F=𝑨𝑪 + 𝑨̅ 𝑪̅ + 𝑩̅ 𝑫 + 𝑩𝑫̅ = 𝑨ʘ𝑪 + 𝑩⨁𝑫 𝐴̅ 𝐶̅ 𝐴𝐶 𝐵𝐷̅ 𝐵̅ 𝐷