SlideShare una empresa de Scribd logo
1 de 8
TECNOLOGICO NACIONAL DE MEXICO
Instituto tecnológico de matamoros
Departamento de ingeniería eléctrica y electrónica
Ingeniería Electrónica
Diseño digital
Practica #3 Algebra de Boole
Periodo:Enero-Junio 2018
Hora: 12:00 a 01:00 pm
Nombre de alumno: numero de control:
BAUTISTA MONTEJANO JESUS FRANCISCO 15260121
MUÑIZ VILLARREAL RAFAEL 14260074
SANTIAGO PABLO ALBERTO 15260144
ERNESTO VILLAREAL MARTINEZ 15260139
MILLAN NAVARRO OFELIA MARIA DEL CONSUELO 15260055
Maestro: Jorge Alejandro Gallegos de la Cruz
26 de febrero de 2018 H. MATAMOROS, TAM.
COMPETENCIAA DESARROLLAR:
Analiza, comprende y utiliza Teoremas del Álgebra de Boole para simplificar circuitos lógicos.
INTRODUCCIÓN:
El álgebra de Boole es una herramienta de fundamental importancia en el mundo de la
computación.Laspropiedadesque se verificanenellasirvende base al diseñoyla construcciónde
las computadorasque trabajan con objetoscuyosvaloressondiscretos,esdecirlas computadoras
digitales,enparticularlasbinarias(enlas cualeslos objetosbásicostienensolo2 valoresposibles)
lasque son,endefinitiva,latotalidadde lascomputadorasde usocorriente.Desdeyaadelantemos
que no se verán aquí detallesformalesde la construcciónalgebraica,ni todas las propiedadesque
se verifican, así como tampoco todos los métodos de síntesis de funciones booleanas que
habitualmente se incluyeneneste temaencursos de lógicay/o diseñológico.Comotodaálgebra,
la de Boole parte de un cuerpo axiomático, el cual puede adquirir diversas formas, variando la
cantidady calidadde los axiomas.Aquíenparticulartomaremosuno:el propuestoporHuntington
en 1904 que tiene la ventaja de ser consistente e independiente.
MATERIAL Y EQUIPO:
1 Fuente de cd.
1 Protoboard.
1 C.I. para cada compuerta lógica 7404, 7408, 7411, 7427, 7432
1 resistor de 330 Ω.
1 Dip switch de 4 o 8 interruptores.
1 Led rojo.
DESARROLLO:
1. Analice el circuito de la Figura No. 1 y escriba la expresión booleana para la salida F.
F = 𝐴̅ 𝐵̅ 𝐶̅ + 𝐴𝐵̅ 𝐶̅ + 𝐴̅ 𝐵̅ 𝐷
2. Utilizando la expresión anterior llene la Tabla No. 1 en la parte F (teórico):
Tabla No 1. Tabla de verdad del circuito lógico de la Figura No. 1
A B C D F (teórico) F (práctico)
0 0 0 0 1 1
0 0 0 1 1 1
0 0 1 0 0 0
0 0 1 1 1 1
0 1 0 0 0 0
0 1 0 1 0 0
0 1 1 0 0 0
0 1 1 1 0 0
1 0 0 0 1 1
1 0 0 1 1 1
1 0 1 0 0 0
1 0 1 1 0 0
1 1 0 0 0 0
1 1 0 1 0 0
1 1 1 0 0 0
1 1 1 1 0 0
2. Construya el circuito de la Figura No. 1. Polarice los integrados y utilice un interruptor del
conjunto de interruptores DIP por cada entrada, y conecte la salida F a una resistencia de
330  y unLED debidamente polarizado.NOTA:Encasode nocontar con compuertasAND
y OR de tres entradas (7411 y 7427), construir el circuito con compuertas de 2 entradas.
4. Verifique el funcionamiento de su circuito probando cada una de las combinaciones en los
renglones de la Tabla No. 1 como entradas en su circuito, y comparando el estado del LED con el
nivel lógico predicho por la tabla de verdad. Llene la Tabla No. 1 en la parte F (práctica)
5. Simplifique la expresión F encontrada en el paso 1 usando los teoremas del Álgebra de Boole.
Liste el teorema usado en cada paso de la simplificación.
F = 𝐴̅ 𝐵̅ 𝐷 + 𝐵̅ 𝐶̅
6. Utilizando la expresión anterior llene la Tabla No. 2 en la parte F (teórica).
Tabla No 2. Tabla de verdad del circuito lógico simplificado
A B C D F (teórico) F (práctico)
0 0 0 0 1 1
0 0 0 1 1 1
0 0 1 0 0 0
0 0 1 1 1 1
0 1 0 0 0 0
0 1 0 1 0 0
0 1 1 0 0 0
0 1 1 1 0 0
1 0 0 0 1 1
1 0 0 1 1 1
1 0 1 0 0 0
1 0 1 1 0 0
1 1 0 0 0 0
1 1 0 1 0 0
1 1 1 0 0 0
1 1 1 1 0 0
7. Dibuje el diagrama lógico de la función simplificada y arme el circuito. Verifique su correcto
funcionamiento anotando los valores observados en la salida del circuito en la columna
correspondiente de la Tabla No. 2.
OBSERVACIONES Y CONCLUSIONES:
Al darnos cuenta de que el álgebra Booleana es un tema que podemos aplicar en áreas más
complejascomolopuedeserlaprogramación,sediseñóesteensayocomounmétodofácil ypreciso
para aquellosque necesiteninformación paraguiarse en losconceptosbásicosde este tema enel
área requerida.
Se esperaque losconsultoressobre eltemalesseade granutilidadlainformaciónplasmadaeneste
ensayo,que tambiénloencuentranennuestroblog,al serunamanerafácil de adquirirlopormedio
de la herramienta del internet.
REFERENCIAS BIBLIOGRÁFICAS (Formato APA).
1. Floyd,T. L. (2006). Novenaedición.Pearson.México.
2. Tocci, R. (2006). SistemasDigitales.Octavaedición.Prentice –Hall,México.
3. Wakerly,J.F. (2001). DiseñoDigital,PrincipiosyPrácticas.Terceraedición.Pearson.México.
4. Morris Mano, M. (2003). DiseñoDigital.Terceraedición.PearsonEducación.México.
5. Acha Alegre,S.,Caballero,A.H.,PérezMartínez,J.,CastroGil,M. (2006). ElectrónicaDigital:
Introducciónala Lógica Digital:Teoría,ProblemasySimulación.Segundaedición.Ra-ma.España.
6. Brown,S., VranesicZ.(2007). Fundamentosde LógicaDigital conDiseñoVHDL.Segundaedición.
McGraw Hill.México.
7. Garza Garza, J. Á. (2006). Sistemasdigitalesyelectrónicadigital.PrimeraEdición.Pearson.
México.
8. Nelson,V.P.,Nagle,H.T.,Irwin,J.D.,Carroll,B.D.(1996).Análisisydiseñode circuitoslógicos
digitales.Segundaedición.Pearson.México
9. TexasInstruments, Manualesde datosTTL y CMOS.
10. Lattice,Manualesde datosPLD´s
11. Altera,Manualesde VHDL.12. Xilinx,Manual de VHDL.

Más contenido relacionado

La actualidad más candente

Resolución de ejercicios compuertas lógicas
Resolución de ejercicios compuertas lógicasResolución de ejercicios compuertas lógicas
Resolución de ejercicios compuertas lógicasCarlos Gascón
 
Rectificadores De Onda Completa Con Tap Central
Rectificadores De Onda Completa Con Tap CentralRectificadores De Onda Completa Con Tap Central
Rectificadores De Onda Completa Con Tap CentralUisraelCircuitos
 
Capacitores inductores
Capacitores inductoresCapacitores inductores
Capacitores inductoresdavid159936
 
3 2 circuitos-disparo
3 2 circuitos-disparo3 2 circuitos-disparo
3 2 circuitos-disparoAxtridf Gs
 
Utp edi_s5_funciones logicas
 Utp edi_s5_funciones logicas Utp edi_s5_funciones logicas
Utp edi_s5_funciones logicasc09271
 
Electroneumatica metodos secuenciales
Electroneumatica metodos secuencialesElectroneumatica metodos secuenciales
Electroneumatica metodos secuencialesjohn piñeros
 
Partes de la máquina síncrona
Partes de la máquina síncronaPartes de la máquina síncrona
Partes de la máquina síncronaLuis Zhunio
 
Diferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuencialesDiferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuencialesWilfred Garcia Diomeda
 
PROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALES
PROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALESPROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALES
PROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALESUDO Monagas
 
La curva característica del diodo
La curva característica del diodoLa curva característica del diodo
La curva característica del diodoantonio vasquez
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Miguel Brunings
 
Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)251089luis
 
Simbologia electrica..
Simbologia electrica..Simbologia electrica..
Simbologia electrica..victorha66
 

La actualidad más candente (20)

Resolución de ejercicios compuertas lógicas
Resolución de ejercicios compuertas lógicasResolución de ejercicios compuertas lógicas
Resolución de ejercicios compuertas lógicas
 
Rectificadores De Onda Completa Con Tap Central
Rectificadores De Onda Completa Con Tap CentralRectificadores De Onda Completa Con Tap Central
Rectificadores De Onda Completa Con Tap Central
 
INSTALACIÓN NEUMATICA
INSTALACIÓN NEUMATICAINSTALACIÓN NEUMATICA
INSTALACIÓN NEUMATICA
 
Reporte compuertas logicas
Reporte compuertas logicas Reporte compuertas logicas
Reporte compuertas logicas
 
Estructura plc
Estructura plcEstructura plc
Estructura plc
 
Capacitores inductores
Capacitores inductoresCapacitores inductores
Capacitores inductores
 
3 2 circuitos-disparo
3 2 circuitos-disparo3 2 circuitos-disparo
3 2 circuitos-disparo
 
Utp edi_s5_funciones logicas
 Utp edi_s5_funciones logicas Utp edi_s5_funciones logicas
Utp edi_s5_funciones logicas
 
Electroneumatica metodos secuenciales
Electroneumatica metodos secuencialesElectroneumatica metodos secuenciales
Electroneumatica metodos secuenciales
 
Partes de la máquina síncrona
Partes de la máquina síncronaPartes de la máquina síncrona
Partes de la máquina síncrona
 
Diferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuencialesDiferencias entre circuitos combinacionales y secuenciales
Diferencias entre circuitos combinacionales y secuenciales
 
PROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALES
PROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALESPROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALES
PROGRAMACION DE PLCs: LENGUAJE BLOQUES FUNCIONALES
 
La curva característica del diodo
La curva característica del diodoLa curva característica del diodo
La curva característica del diodo
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
 
Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)Multiplexor 4 Entradas 1 Salida (4-1)
Multiplexor 4 Entradas 1 Salida (4-1)
 
Simbologia electrica..
Simbologia electrica..Simbologia electrica..
Simbologia electrica..
 
Generador
Generador Generador
Generador
 
U2S2: Memoria Principal (RAM)
U2S2: Memoria Principal (RAM)U2S2: Memoria Principal (RAM)
U2S2: Memoria Principal (RAM)
 
Diagrama de bloques
Diagrama de bloquesDiagrama de bloques
Diagrama de bloques
 
Ejercicios circuitos i
Ejercicios circuitos iEjercicios circuitos i
Ejercicios circuitos i
 

Similar a Álgebra de Boole y circuitos lógicos

Practica 3 de Electrónica digital: Algebra de Boole
Practica 3 de Electrónica digital: Algebra de Boole Practica 3 de Electrónica digital: Algebra de Boole
Practica 3 de Electrónica digital: Algebra de Boole SANTIAGO PABLO ALBERTO
 
Remedal quimestre e digital 2 dos (reparado)
Remedal quimestre e digital 2 dos (reparado)Remedal quimestre e digital 2 dos (reparado)
Remedal quimestre e digital 2 dos (reparado)Paola Ordóñez Crespo
 
archivo2022858481.pdf
archivo2022858481.pdfarchivo2022858481.pdf
archivo2022858481.pdfStevenDG
 
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...Fernando Marcos Marcos
 
LABORATORIOS 2.pdf
LABORATORIOS 2.pdfLABORATORIOS 2.pdf
LABORATORIOS 2.pdfDwnVillegas
 
CONTADOR BINARIO 8 BITS
CONTADOR BINARIO 8 BITSCONTADOR BINARIO 8 BITS
CONTADOR BINARIO 8 BITSlalo martinez
 
Informe Tablero Lógico
Informe Tablero LógicoInforme Tablero Lógico
Informe Tablero Lógico082491
 
Multisim, como medir paso a paso
Multisim, como medir paso a pasoMultisim, como medir paso a paso
Multisim, como medir paso a pasoedgarfrans
 
Pelandintecno electronica digital_2016_boletin
Pelandintecno  electronica digital_2016_boletinPelandintecno  electronica digital_2016_boletin
Pelandintecno electronica digital_2016_boletinIsabelMartnezHernnde1
 
Compuertas logicas 1
Compuertas logicas 1Compuertas logicas 1
Compuertas logicas 1Andres OLmedo
 
Instituto tecnologico de tuxtepec nue
Instituto tecnologico de tuxtepec nueInstituto tecnologico de tuxtepec nue
Instituto tecnologico de tuxtepec nueDuck Rodriguez
 
Practica nro2 ixis_marionny
Practica nro2 ixis_marionnyPractica nro2 ixis_marionny
Practica nro2 ixis_marionnylisi2407
 
Reporte de practica sumador binario
Reporte de practica sumador binarioReporte de practica sumador binario
Reporte de practica sumador binarioDiego Ramírez
 
Circuitos en la Ingenieria de sistema
Circuitos en la Ingenieria de sistemaCircuitos en la Ingenieria de sistema
Circuitos en la Ingenieria de sistemaLuis Molina
 

Similar a Álgebra de Boole y circuitos lógicos (20)

Informe de electronica n° 7.pdf logica digital
Informe de electronica n° 7.pdf logica digitalInforme de electronica n° 7.pdf logica digital
Informe de electronica n° 7.pdf logica digital
 
Practica 3 de Electrónica digital: Algebra de Boole
Practica 3 de Electrónica digital: Algebra de Boole Practica 3 de Electrónica digital: Algebra de Boole
Practica 3 de Electrónica digital: Algebra de Boole
 
Remedal quimestre e digital 2 dos (reparado)
Remedal quimestre e digital 2 dos (reparado)Remedal quimestre e digital 2 dos (reparado)
Remedal quimestre e digital 2 dos (reparado)
 
Guia 1 lab
Guia 1 labGuia 1 lab
Guia 1 lab
 
Logica Combinacional
Logica CombinacionalLogica Combinacional
Logica Combinacional
 
archivo2022858481.pdf
archivo2022858481.pdfarchivo2022858481.pdf
archivo2022858481.pdf
 
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
 
LABORATORIOS 2.pdf
LABORATORIOS 2.pdfLABORATORIOS 2.pdf
LABORATORIOS 2.pdf
 
CONTADOR BINARIO 8 BITS
CONTADOR BINARIO 8 BITSCONTADOR BINARIO 8 BITS
CONTADOR BINARIO 8 BITS
 
Informe Tablero Lógico
Informe Tablero LógicoInforme Tablero Lógico
Informe Tablero Lógico
 
Multisim, como medir paso a paso
Multisim, como medir paso a pasoMultisim, como medir paso a paso
Multisim, como medir paso a paso
 
Sumador con and xor or (2)
Sumador con and xor or (2)Sumador con and xor or (2)
Sumador con and xor or (2)
 
Previo4_contador
Previo4_contadorPrevio4_contador
Previo4_contador
 
Pelandintecno electronica digital_2016_boletin
Pelandintecno  electronica digital_2016_boletinPelandintecno  electronica digital_2016_boletin
Pelandintecno electronica digital_2016_boletin
 
Compuertas logicas 1
Compuertas logicas 1Compuertas logicas 1
Compuertas logicas 1
 
Instituto tecnologico de tuxtepec nue
Instituto tecnologico de tuxtepec nueInstituto tecnologico de tuxtepec nue
Instituto tecnologico de tuxtepec nue
 
Informe practica4 de digitales
Informe practica4 de digitalesInforme practica4 de digitales
Informe practica4 de digitales
 
Practica nro2 ixis_marionny
Practica nro2 ixis_marionnyPractica nro2 ixis_marionny
Practica nro2 ixis_marionny
 
Reporte de practica sumador binario
Reporte de practica sumador binarioReporte de practica sumador binario
Reporte de practica sumador binario
 
Circuitos en la Ingenieria de sistema
Circuitos en la Ingenieria de sistemaCircuitos en la Ingenieria de sistema
Circuitos en la Ingenieria de sistema
 

Más de SANTIAGO PABLO ALBERTO

Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaSANTIAGO PABLO ALBERTO
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez SANTIAGO PABLO ALBERTO
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio PereiraSANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTSANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...SANTIAGO PABLO ALBERTO
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...SANTIAGO PABLO ALBERTO
 

Más de SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Último

Edificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes GranadaEdificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes GranadaANDECE
 
Fe_C_Tratamientos termicos_uap _3_.ppt
Fe_C_Tratamientos termicos_uap   _3_.pptFe_C_Tratamientos termicos_uap   _3_.ppt
Fe_C_Tratamientos termicos_uap _3_.pptVitobailon
 
sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7luisanthonycarrascos
 
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)ssuser563c56
 
Unidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptxUnidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptxEverardoRuiz8
 
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIPSEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIPJosLuisFrancoCaldern
 
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAIPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAJAMESDIAZ55
 
Sesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO CersaSesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO CersaXimenaFallaLecca1
 
CLASE 2 MUROS CARAVISTA EN CONCRETO Y UNIDAD DE ALBAÑILERIA
CLASE 2 MUROS CARAVISTA EN CONCRETO  Y UNIDAD DE ALBAÑILERIACLASE 2 MUROS CARAVISTA EN CONCRETO  Y UNIDAD DE ALBAÑILERIA
CLASE 2 MUROS CARAVISTA EN CONCRETO Y UNIDAD DE ALBAÑILERIAMayraOchoa35
 
Reporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpacaReporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpacajeremiasnifla
 
Flujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptxFlujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptxEduardoSnchezHernnde5
 
Comite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptxComite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptxClaudiaPerez86192
 
Seleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusiblesSeleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusiblesSaulSantiago25
 
ECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdfECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdfmatepura
 
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONALCHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONALKATHIAMILAGRITOSSANC
 
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdfAnthonyTiclia
 
Magnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMagnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMarceloQuisbert6
 
estadisticasII Metodo-de-la-gran-M.pdf
estadisticasII   Metodo-de-la-gran-M.pdfestadisticasII   Metodo-de-la-gran-M.pdf
estadisticasII Metodo-de-la-gran-M.pdfFlorenciopeaortiz
 
Presentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdfPresentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdfMirthaFernandez12
 

Último (20)

Edificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes GranadaEdificio residencial Tarsia de AEDAS Homes Granada
Edificio residencial Tarsia de AEDAS Homes Granada
 
Fe_C_Tratamientos termicos_uap _3_.ppt
Fe_C_Tratamientos termicos_uap   _3_.pptFe_C_Tratamientos termicos_uap   _3_.ppt
Fe_C_Tratamientos termicos_uap _3_.ppt
 
sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7sistema de construcción Drywall semana 7
sistema de construcción Drywall semana 7
 
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
 
Unidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptxUnidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptx
 
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIPSEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
SEGURIDAD EN CONSTRUCCION PPT PARA EL CIP
 
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAIPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
 
Sesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO CersaSesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
Sesión 02 TIPOS DE VALORIZACIONES CURSO Cersa
 
VALORIZACION Y LIQUIDACION MIGUEL SALINAS.pdf
VALORIZACION Y LIQUIDACION MIGUEL SALINAS.pdfVALORIZACION Y LIQUIDACION MIGUEL SALINAS.pdf
VALORIZACION Y LIQUIDACION MIGUEL SALINAS.pdf
 
CLASE 2 MUROS CARAVISTA EN CONCRETO Y UNIDAD DE ALBAÑILERIA
CLASE 2 MUROS CARAVISTA EN CONCRETO  Y UNIDAD DE ALBAÑILERIACLASE 2 MUROS CARAVISTA EN CONCRETO  Y UNIDAD DE ALBAÑILERIA
CLASE 2 MUROS CARAVISTA EN CONCRETO Y UNIDAD DE ALBAÑILERIA
 
Reporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpacaReporte de Exportaciones de Fibra de alpaca
Reporte de Exportaciones de Fibra de alpaca
 
Flujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptxFlujo multifásico en tuberias de ex.pptx
Flujo multifásico en tuberias de ex.pptx
 
Comite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptxComite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptx
 
Seleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusiblesSeleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusibles
 
ECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdfECONOMIA APLICADA SEMANA 555555555544.pdf
ECONOMIA APLICADA SEMANA 555555555544.pdf
 
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONALCHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
 
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
2. UPN PPT - SEMANA 02 GESTION DE PROYECTOS MG CHERYL QUEZADA(1).pdf
 
Magnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMagnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principios
 
estadisticasII Metodo-de-la-gran-M.pdf
estadisticasII   Metodo-de-la-gran-M.pdfestadisticasII   Metodo-de-la-gran-M.pdf
estadisticasII Metodo-de-la-gran-M.pdf
 
Presentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdfPresentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdf
 

Álgebra de Boole y circuitos lógicos

  • 1. TECNOLOGICO NACIONAL DE MEXICO Instituto tecnológico de matamoros Departamento de ingeniería eléctrica y electrónica Ingeniería Electrónica Diseño digital Practica #3 Algebra de Boole Periodo:Enero-Junio 2018 Hora: 12:00 a 01:00 pm Nombre de alumno: numero de control: BAUTISTA MONTEJANO JESUS FRANCISCO 15260121 MUÑIZ VILLARREAL RAFAEL 14260074 SANTIAGO PABLO ALBERTO 15260144 ERNESTO VILLAREAL MARTINEZ 15260139 MILLAN NAVARRO OFELIA MARIA DEL CONSUELO 15260055 Maestro: Jorge Alejandro Gallegos de la Cruz 26 de febrero de 2018 H. MATAMOROS, TAM.
  • 2. COMPETENCIAA DESARROLLAR: Analiza, comprende y utiliza Teoremas del Álgebra de Boole para simplificar circuitos lógicos.
  • 3. INTRODUCCIÓN: El álgebra de Boole es una herramienta de fundamental importancia en el mundo de la computación.Laspropiedadesque se verificanenellasirvende base al diseñoyla construcciónde las computadorasque trabajan con objetoscuyosvaloressondiscretos,esdecirlas computadoras digitales,enparticularlasbinarias(enlas cualeslos objetosbásicostienensolo2 valoresposibles) lasque son,endefinitiva,latotalidadde lascomputadorasde usocorriente.Desdeyaadelantemos que no se verán aquí detallesformalesde la construcciónalgebraica,ni todas las propiedadesque se verifican, así como tampoco todos los métodos de síntesis de funciones booleanas que habitualmente se incluyeneneste temaencursos de lógicay/o diseñológico.Comotodaálgebra, la de Boole parte de un cuerpo axiomático, el cual puede adquirir diversas formas, variando la cantidady calidadde los axiomas.Aquíenparticulartomaremosuno:el propuestoporHuntington en 1904 que tiene la ventaja de ser consistente e independiente.
  • 4. MATERIAL Y EQUIPO: 1 Fuente de cd. 1 Protoboard. 1 C.I. para cada compuerta lógica 7404, 7408, 7411, 7427, 7432 1 resistor de 330 Ω. 1 Dip switch de 4 o 8 interruptores. 1 Led rojo.
  • 5. DESARROLLO: 1. Analice el circuito de la Figura No. 1 y escriba la expresión booleana para la salida F. F = 𝐴̅ 𝐵̅ 𝐶̅ + 𝐴𝐵̅ 𝐶̅ + 𝐴̅ 𝐵̅ 𝐷 2. Utilizando la expresión anterior llene la Tabla No. 1 en la parte F (teórico): Tabla No 1. Tabla de verdad del circuito lógico de la Figura No. 1 A B C D F (teórico) F (práctico) 0 0 0 0 1 1 0 0 0 1 1 1 0 0 1 0 0 0 0 0 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 0 1 1 1 0 0 1 0 0 0 1 1 1 0 0 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 1 1 1 0 0
  • 6. 2. Construya el circuito de la Figura No. 1. Polarice los integrados y utilice un interruptor del conjunto de interruptores DIP por cada entrada, y conecte la salida F a una resistencia de 330  y unLED debidamente polarizado.NOTA:Encasode nocontar con compuertasAND y OR de tres entradas (7411 y 7427), construir el circuito con compuertas de 2 entradas. 4. Verifique el funcionamiento de su circuito probando cada una de las combinaciones en los renglones de la Tabla No. 1 como entradas en su circuito, y comparando el estado del LED con el nivel lógico predicho por la tabla de verdad. Llene la Tabla No. 1 en la parte F (práctica) 5. Simplifique la expresión F encontrada en el paso 1 usando los teoremas del Álgebra de Boole. Liste el teorema usado en cada paso de la simplificación. F = 𝐴̅ 𝐵̅ 𝐷 + 𝐵̅ 𝐶̅ 6. Utilizando la expresión anterior llene la Tabla No. 2 en la parte F (teórica).
  • 7. Tabla No 2. Tabla de verdad del circuito lógico simplificado A B C D F (teórico) F (práctico) 0 0 0 0 1 1 0 0 0 1 1 1 0 0 1 0 0 0 0 0 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 0 1 1 1 0 0 1 0 0 0 1 1 1 0 0 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 1 1 1 0 0 7. Dibuje el diagrama lógico de la función simplificada y arme el circuito. Verifique su correcto funcionamiento anotando los valores observados en la salida del circuito en la columna correspondiente de la Tabla No. 2.
  • 8. OBSERVACIONES Y CONCLUSIONES: Al darnos cuenta de que el álgebra Booleana es un tema que podemos aplicar en áreas más complejascomolopuedeserlaprogramación,sediseñóesteensayocomounmétodofácil ypreciso para aquellosque necesiteninformación paraguiarse en losconceptosbásicosde este tema enel área requerida. Se esperaque losconsultoressobre eltemalesseade granutilidadlainformaciónplasmadaeneste ensayo,que tambiénloencuentranennuestroblog,al serunamanerafácil de adquirirlopormedio de la herramienta del internet. REFERENCIAS BIBLIOGRÁFICAS (Formato APA). 1. Floyd,T. L. (2006). Novenaedición.Pearson.México. 2. Tocci, R. (2006). SistemasDigitales.Octavaedición.Prentice –Hall,México. 3. Wakerly,J.F. (2001). DiseñoDigital,PrincipiosyPrácticas.Terceraedición.Pearson.México. 4. Morris Mano, M. (2003). DiseñoDigital.Terceraedición.PearsonEducación.México. 5. Acha Alegre,S.,Caballero,A.H.,PérezMartínez,J.,CastroGil,M. (2006). ElectrónicaDigital: Introducciónala Lógica Digital:Teoría,ProblemasySimulación.Segundaedición.Ra-ma.España. 6. Brown,S., VranesicZ.(2007). Fundamentosde LógicaDigital conDiseñoVHDL.Segundaedición. McGraw Hill.México. 7. Garza Garza, J. Á. (2006). Sistemasdigitalesyelectrónicadigital.PrimeraEdición.Pearson. México. 8. Nelson,V.P.,Nagle,H.T.,Irwin,J.D.,Carroll,B.D.(1996).Análisisydiseñode circuitoslógicos digitales.Segundaedición.Pearson.México 9. TexasInstruments, Manualesde datosTTL y CMOS. 10. Lattice,Manualesde datosPLD´s 11. Altera,Manualesde VHDL.12. Xilinx,Manual de VHDL.