SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
vasanza
SISTEMAS DIGITALES II
EXAMEN 1P (C1-C3)
Fecha: 2021/11/25 PAO2 2021-2022
Nombre: _________________________________________________ Paralelo: __________
Repositorio con los archivos VHDL de la pregunta:
https://github.com/vasanza/DigitalSystems/tree/2021PAO2/2021_PAO2_1P_Examen
Problema #1: (x%)
La siguiente partición funcional que incluye una Maquina Secuencial Sincrónica (MSS) y tres registros de
sostenimiento, debe realizar el ingreso de datos a cada uno de los registros y luego permitirá encontrar el
valor máximo y mínimo ingresado. Además, cada uno de los registros indicados es de 8 bits para mostrar
los valores encontrados de máximo (Qmax) y mínimo (Qmin) serán de 8 bits cada uno. El sistema digital
funciona con una MSS modelo Moore de la siguiente forma:
1. La MSS luego de ser reiniciado empieza en el estado inicial.
2. El Sistema Digital en el estado inicial, esperará que el usuario presione y suelte la tecla Start dos
veces, luego de lo cual esperará el ingreso de datos.
3. El ingreso de datos se lo hará presentando un byte en la entrada Datos, presionando y soltando la
tecla Load (el usuario deberá realizar este paso tres veces, uno por cada registro).
4. Luego de ingresar los 3 datos, el usuario deberá presionar y soltar la tecla Find. Esta señal es la que
le indica a la MSS del Sistema Digital, que es momento de realizar la búsqueda del valor máximo
y mínimo.
5. Una vez finalizado el proceso de búsqueda de los valores máximo y mínimo, se activará la salida
Done. El valor máximo se guardará en el RegistroMax y se presentará en su salida Qmax, por otro
lado, el valor mínimo se guardará en el RegistroMin y se presentará en su salida Qmin.
6. La señal Done, las salidas Qmax y Qmin se presentarán hasta que el usuario presione y suelte la
tecla Start una vez, luego de lo cual la MSS regresará al estado inicial.
Las señales de entrada y salida se muestran en la siguiente gráfica:
Se pide realizar los siguientes literales:
a) Completar la partición funcional indicando el nombre del bloque amarillo con los respectivos
nombres de las señales (justifique su respuesta) [x%].
b) Elaborar el ASM completo de la MSS que gobierna el Sistema Digital para que realice las
funcionalidades indicadas [x%].
c) Finalmente, realizar la descripción estructural en el código VHDL del sistema Digital completo con
portMap. Además, completando el nombre y funcionamiento del bloque amarillo faltante, nombres
de señales y tamaño de buses. Finalmente, Asuma que los bloques MSI presentes en la partición
funcional del Sistema Digital (incluido el bloque de color amarillo) ya existen en la misma carpeta
del proyecto [x%].
vasanza
Resolución:
a) El bloque amarillo es un comparador de tres entradas A, B y C de 8 bits cada una. Las salidas son
comparaciones combinadas entre las tres entradas, dando como resultado las siguientes salidas:
AmayorB, AmayorC, BmayorC, AigualB, AigualC, BigualC, AmenorB, AmenorC y BmenorC. El
bloque VHDL se encuentra en el siguiente enlace: https://github.com/vasanza/MSI-
VHDL/blob/main/AddBCD.vhd
vasanza
b) Elaborar el ASM completo de la MSS que gobierna el Sistema Digital para que realice las
funcionalidades indicadas.
Código MSS:
https://github.com/vasanza/DigitalSystems/blob/2021PAO2/2021_PAO2_1P_Examen/MSS.vhd
vasanza
c) Finalmente, realizar la descripción estructural en el código VHDL del sistema Digital completo con
portMap. Además, completando el nombre y funcionamiento del bloque amarillo faltante, nombres
de señales y tamaño de buses. Finalmente, Asuma que los bloques MSI presentes en la partición
funcional del Sistema Digital (incluido el bloque de color amarillo) ya existen en la misma carpeta
del proyecto.
Código Structural:
https://github.com/vasanza/DigitalSystems/blob/2021PAO2/2021_PAO2_1P_Examen/Structural.vhd
Código Package:
https://github.com/vasanza/DigitalSystems/blob/2021PAO2/2021_PAO2_1P_Examen/Package_library.v
hd

Más contenido relacionado

La actualidad más candente

Mapa de karnaugh el semáforo
Mapa de karnaugh el semáforoMapa de karnaugh el semáforo
Mapa de karnaugh el semáforo
Marco Antonio
 
Realimentacion negativa
Realimentacion negativaRealimentacion negativa
Realimentacion negativa
elliearguello
 
Electronica rectificadores
Electronica rectificadoresElectronica rectificadores
Electronica rectificadores
Velmuz Buzz
 
PROCESAMIENTO DIGITAL DE SEÑALES CON MATLAB
PROCESAMIENTO DIGITAL DE SEÑALES CON MATLABPROCESAMIENTO DIGITAL DE SEÑALES CON MATLAB
PROCESAMIENTO DIGITAL DE SEÑALES CON MATLAB
INFOVIC
 

La actualidad más candente (20)

PDS Unidad 2 Sección 2.3: Clasificación de los sistemas discretos
PDS Unidad 2 Sección 2.3: Clasificación de los sistemas discretosPDS Unidad 2 Sección 2.3: Clasificación de los sistemas discretos
PDS Unidad 2 Sección 2.3: Clasificación de los sistemas discretos
 
Mapa de karnaugh el semáforo
Mapa de karnaugh el semáforoMapa de karnaugh el semáforo
Mapa de karnaugh el semáforo
 
Resumen del 555
Resumen del 555Resumen del 555
Resumen del 555
 
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
⭐⭐⭐⭐⭐ PRÁCTICA: ANÁLISIS DE CIRCUITOS COMBINATORIALES
 
Guia para el examen de electronica digital
Guia para el examen de electronica digitalGuia para el examen de electronica digital
Guia para el examen de electronica digital
 
Latch dan ram
Latch dan ramLatch dan ram
Latch dan ram
 
PLC y Electroneumática: Control de motores eléctricos
PLC y Electroneumática: Control de motores eléctricos PLC y Electroneumática: Control de motores eléctricos
PLC y Electroneumática: Control de motores eléctricos
 
filtros activos
filtros activos filtros activos
filtros activos
 
Comparador de magnitud (7485)
Comparador de magnitud (7485)Comparador de magnitud (7485)
Comparador de magnitud (7485)
 
Realimentacion negativa
Realimentacion negativaRealimentacion negativa
Realimentacion negativa
 
Electrónica de Potencia Aplicada.pptx
Electrónica de Potencia Aplicada.pptxElectrónica de Potencia Aplicada.pptx
Electrónica de Potencia Aplicada.pptx
 
Practica III ELECTRONICA I
Practica III ELECTRONICA IPractica III ELECTRONICA I
Practica III ELECTRONICA I
 
Informe practica 4 Laboratorio de Circuitos 2
Informe practica 4 Laboratorio de Circuitos 2Informe practica 4 Laboratorio de Circuitos 2
Informe practica 4 Laboratorio de Circuitos 2
 
Sección 2.6: Sistemas descritos mediante ecuaciones en diferencias
Sección 2.6: Sistemas descritos mediante ecuaciones en diferenciasSección 2.6: Sistemas descritos mediante ecuaciones en diferencias
Sección 2.6: Sistemas descritos mediante ecuaciones en diferencias
 
CONTROL SEAFÓRICO
CONTROL SEAFÓRICOCONTROL SEAFÓRICO
CONTROL SEAFÓRICO
 
Electrónica digital: Circuitos secuenciales sincrónicos
Electrónica digital: Circuitos secuenciales sincrónicos Electrónica digital: Circuitos secuenciales sincrónicos
Electrónica digital: Circuitos secuenciales sincrónicos
 
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATORPLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
 
Electronica rectificadores
Electronica rectificadoresElectronica rectificadores
Electronica rectificadores
 
Compensador de retraso, lugar de las raices.
Compensador de retraso, lugar de las raices.Compensador de retraso, lugar de las raices.
Compensador de retraso, lugar de las raices.
 
PROCESAMIENTO DIGITAL DE SEÑALES CON MATLAB
PROCESAMIENTO DIGITAL DE SEÑALES CON MATLABPROCESAMIENTO DIGITAL DE SEÑALES CON MATLAB
PROCESAMIENTO DIGITAL DE SEÑALES CON MATLAB
 

Similar a ⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)
Victor Asanza
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
Victor Asanza
 
Sistemas digitales combinacionales - ejercicios
Sistemas digitales combinacionales - ejerciciosSistemas digitales combinacionales - ejercicios
Sistemas digitales combinacionales - ejercicios
Francesc Perez
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...
Victor Asanza
 
15894 pr cctica-3-introducciun-al-matlab-simulink
15894 pr cctica-3-introducciun-al-matlab-simulink15894 pr cctica-3-introducciun-al-matlab-simulink
15894 pr cctica-3-introducciun-al-matlab-simulink
Frank Arias Beltran
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...
Victor Asanza
 
Programacion En Mat Lab
Programacion En Mat LabProgramacion En Mat Lab
Programacion En Mat Lab
Freelance
 
Ejercicios Informatica
Ejercicios InformaticaEjercicios Informatica
Ejercicios Informatica
DavidSenra
 

Similar a ⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2) (20)

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, 2do Parcial (2020 PAO 2)
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
 
Unidad 2 ensamblador
Unidad 2   ensambladorUnidad 2   ensamblador
Unidad 2 ensamblador
 
Lenguaje ensamblador
Lenguaje ensambladorLenguaje ensamblador
Lenguaje ensamblador
 
Sistemas digitales combinacionales - ejercicios
Sistemas digitales combinacionales - ejerciciosSistemas digitales combinacionales - ejercicios
Sistemas digitales combinacionales - ejercicios
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN D RESUELTA 1er PARCIAL (2019 2do ...
 
Itcr el 3310 i semestre 2008 soluciones
Itcr el 3310  i semestre 2008 solucionesItcr el 3310  i semestre 2008 soluciones
Itcr el 3310 i semestre 2008 soluciones
 
Manual practicas
Manual practicasManual practicas
Manual practicas
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2017 2do Término)
 
15894 pr cctica-3-introducciun-al-matlab-simulink
15894 pr cctica-3-introducciun-al-matlab-simulink15894 pr cctica-3-introducciun-al-matlab-simulink
15894 pr cctica-3-introducciun-al-matlab-simulink
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN A RESUELTA 1er PARCIAL (2019 2do ...
 
Instrumentacion virtual
Instrumentacion virtualInstrumentacion virtual
Instrumentacion virtual
 
Programacion En Mat Lab
Programacion En Mat LabProgramacion En Mat Lab
Programacion En Mat Lab
 
Instrumentacion virtual
Instrumentacion virtualInstrumentacion virtual
Instrumentacion virtual
 
M-WinQSB.pdf
M-WinQSB.pdfM-WinQSB.pdf
M-WinQSB.pdf
 
Simulador de redes modbus
Simulador de  redes modbusSimulador de  redes modbus
Simulador de redes modbus
 
Ejercicios Informatica
Ejercicios InformaticaEjercicios Informatica
Ejercicios Informatica
 

Más de Victor Asanza

⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
Victor Asanza
 

Más de Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
 
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
 

Último

Último (20)

La historia de la vida estudiantil a 102 años de la fundación de las Normales...
La historia de la vida estudiantil a 102 años de la fundación de las Normales...La historia de la vida estudiantil a 102 años de la fundación de las Normales...
La historia de la vida estudiantil a 102 años de la fundación de las Normales...
 
Tema 8 Estructura y composición de la Tierra 2024
Tema 8 Estructura y composición de la Tierra 2024Tema 8 Estructura y composición de la Tierra 2024
Tema 8 Estructura y composición de la Tierra 2024
 
11.NEOLIBERALISMO: que es, ventajas, desventajas, consecuenciaspptx
11.NEOLIBERALISMO: que es, ventajas, desventajas, consecuenciaspptx11.NEOLIBERALISMO: que es, ventajas, desventajas, consecuenciaspptx
11.NEOLIBERALISMO: que es, ventajas, desventajas, consecuenciaspptx
 
Análisis de la situación actual .La Matriz de Perfil Competitivo (MPC)
Análisis de la situación actual .La Matriz de Perfil Competitivo (MPC)Análisis de la situación actual .La Matriz de Perfil Competitivo (MPC)
Análisis de la situación actual .La Matriz de Perfil Competitivo (MPC)
 
Comunidades Virtuales de Aprendizaje Caracteristicas.pptx
Comunidades Virtuales de Aprendizaje Caracteristicas.pptxComunidades Virtuales de Aprendizaje Caracteristicas.pptx
Comunidades Virtuales de Aprendizaje Caracteristicas.pptx
 
Cerebelo Anatomía y fisiología Clase presencial
Cerebelo Anatomía y fisiología Clase presencialCerebelo Anatomía y fisiología Clase presencial
Cerebelo Anatomía y fisiología Clase presencial
 
Sesión de clase: Luz desde el santuario.pdf
Sesión de clase: Luz desde el santuario.pdfSesión de clase: Luz desde el santuario.pdf
Sesión de clase: Luz desde el santuario.pdf
 
Lec. 08 Esc. Sab. Luz desde el santuario
Lec. 08 Esc. Sab. Luz desde el santuarioLec. 08 Esc. Sab. Luz desde el santuario
Lec. 08 Esc. Sab. Luz desde el santuario
 
LA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIA
LA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIALA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIA
LA GEOMETRÍA Y LOS SISTEMAS ANGULARES, APRENDER LEYENDO LA BIBLIA
 
TRABAJO CON TRES O MAS FRACCIONES PARA NIÑOS
TRABAJO CON TRES O MAS FRACCIONES PARA NIÑOSTRABAJO CON TRES O MAS FRACCIONES PARA NIÑOS
TRABAJO CON TRES O MAS FRACCIONES PARA NIÑOS
 
proyecto semana de los Jardines, actividades a realizar para resaltar esta fecha
proyecto semana de los Jardines, actividades a realizar para resaltar esta fechaproyecto semana de los Jardines, actividades a realizar para resaltar esta fecha
proyecto semana de los Jardines, actividades a realizar para resaltar esta fecha
 
Proceso de gestión de obras - Aquí tu Remodelación
Proceso de gestión de obras - Aquí tu RemodelaciónProceso de gestión de obras - Aquí tu Remodelación
Proceso de gestión de obras - Aquí tu Remodelación
 
RESPONSABILIDAD SOCIAL EN LAS ORGANIZACIONES (4).pdf
RESPONSABILIDAD SOCIAL EN LAS ORGANIZACIONES (4).pdfRESPONSABILIDAD SOCIAL EN LAS ORGANIZACIONES (4).pdf
RESPONSABILIDAD SOCIAL EN LAS ORGANIZACIONES (4).pdf
 
Power Point: Luz desde el santuario.pptx
Power Point: Luz desde el santuario.pptxPower Point: Luz desde el santuario.pptx
Power Point: Luz desde el santuario.pptx
 
Evaluación de los Factores Internos de la Organización
Evaluación de los Factores Internos de la OrganizaciónEvaluación de los Factores Internos de la Organización
Evaluación de los Factores Internos de la Organización
 
Descripción anatómica de los músculos de la cabeza de equino y bovino (6).pdf
Descripción anatómica de los músculos de la cabeza de equino y bovino (6).pdfDescripción anatómica de los músculos de la cabeza de equino y bovino (6).pdf
Descripción anatómica de los músculos de la cabeza de equino y bovino (6).pdf
 
32 LECTURAS CORTAS PARA NIÑOS.pdf · versión 1.pdf
32 LECTURAS CORTAS PARA NIÑOS.pdf · versión 1.pdf32 LECTURAS CORTAS PARA NIÑOS.pdf · versión 1.pdf
32 LECTURAS CORTAS PARA NIÑOS.pdf · versión 1.pdf
 
Poemas de Beatriz Giménez de Ory_trabajos de 6º
Poemas de Beatriz Giménez de Ory_trabajos de 6ºPoemas de Beatriz Giménez de Ory_trabajos de 6º
Poemas de Beatriz Giménez de Ory_trabajos de 6º
 
LA ILIADA Y LA ODISEA.LITERATURA UNIVERSAL
LA ILIADA Y LA ODISEA.LITERATURA UNIVERSALLA ILIADA Y LA ODISEA.LITERATURA UNIVERSAL
LA ILIADA Y LA ODISEA.LITERATURA UNIVERSAL
 
Módulo No. 1 Salud mental y escucha activa FINAL 25ABR2024 técnicos.pptx
Módulo No. 1 Salud mental y escucha activa FINAL 25ABR2024 técnicos.pptxMódulo No. 1 Salud mental y escucha activa FINAL 25ABR2024 técnicos.pptx
Módulo No. 1 Salud mental y escucha activa FINAL 25ABR2024 técnicos.pptx
 

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)

  • 1. vasanza SISTEMAS DIGITALES II EXAMEN 1P (C1-C3) Fecha: 2021/11/25 PAO2 2021-2022 Nombre: _________________________________________________ Paralelo: __________ Repositorio con los archivos VHDL de la pregunta: https://github.com/vasanza/DigitalSystems/tree/2021PAO2/2021_PAO2_1P_Examen Problema #1: (x%) La siguiente partición funcional que incluye una Maquina Secuencial Sincrónica (MSS) y tres registros de sostenimiento, debe realizar el ingreso de datos a cada uno de los registros y luego permitirá encontrar el valor máximo y mínimo ingresado. Además, cada uno de los registros indicados es de 8 bits para mostrar los valores encontrados de máximo (Qmax) y mínimo (Qmin) serán de 8 bits cada uno. El sistema digital funciona con una MSS modelo Moore de la siguiente forma: 1. La MSS luego de ser reiniciado empieza en el estado inicial. 2. El Sistema Digital en el estado inicial, esperará que el usuario presione y suelte la tecla Start dos veces, luego de lo cual esperará el ingreso de datos. 3. El ingreso de datos se lo hará presentando un byte en la entrada Datos, presionando y soltando la tecla Load (el usuario deberá realizar este paso tres veces, uno por cada registro). 4. Luego de ingresar los 3 datos, el usuario deberá presionar y soltar la tecla Find. Esta señal es la que le indica a la MSS del Sistema Digital, que es momento de realizar la búsqueda del valor máximo y mínimo. 5. Una vez finalizado el proceso de búsqueda de los valores máximo y mínimo, se activará la salida Done. El valor máximo se guardará en el RegistroMax y se presentará en su salida Qmax, por otro lado, el valor mínimo se guardará en el RegistroMin y se presentará en su salida Qmin. 6. La señal Done, las salidas Qmax y Qmin se presentarán hasta que el usuario presione y suelte la tecla Start una vez, luego de lo cual la MSS regresará al estado inicial. Las señales de entrada y salida se muestran en la siguiente gráfica: Se pide realizar los siguientes literales: a) Completar la partición funcional indicando el nombre del bloque amarillo con los respectivos nombres de las señales (justifique su respuesta) [x%]. b) Elaborar el ASM completo de la MSS que gobierna el Sistema Digital para que realice las funcionalidades indicadas [x%]. c) Finalmente, realizar la descripción estructural en el código VHDL del sistema Digital completo con portMap. Además, completando el nombre y funcionamiento del bloque amarillo faltante, nombres de señales y tamaño de buses. Finalmente, Asuma que los bloques MSI presentes en la partición funcional del Sistema Digital (incluido el bloque de color amarillo) ya existen en la misma carpeta del proyecto [x%].
  • 2. vasanza Resolución: a) El bloque amarillo es un comparador de tres entradas A, B y C de 8 bits cada una. Las salidas son comparaciones combinadas entre las tres entradas, dando como resultado las siguientes salidas: AmayorB, AmayorC, BmayorC, AigualB, AigualC, BigualC, AmenorB, AmenorC y BmenorC. El bloque VHDL se encuentra en el siguiente enlace: https://github.com/vasanza/MSI- VHDL/blob/main/AddBCD.vhd
  • 3. vasanza b) Elaborar el ASM completo de la MSS que gobierna el Sistema Digital para que realice las funcionalidades indicadas. Código MSS: https://github.com/vasanza/DigitalSystems/blob/2021PAO2/2021_PAO2_1P_Examen/MSS.vhd
  • 4. vasanza c) Finalmente, realizar la descripción estructural en el código VHDL del sistema Digital completo con portMap. Además, completando el nombre y funcionamiento del bloque amarillo faltante, nombres de señales y tamaño de buses. Finalmente, Asuma que los bloques MSI presentes en la partición funcional del Sistema Digital (incluido el bloque de color amarillo) ya existen en la misma carpeta del proyecto. Código Structural: https://github.com/vasanza/DigitalSystems/blob/2021PAO2/2021_PAO2_1P_Examen/Structural.vhd Código Package: https://github.com/vasanza/DigitalSystems/blob/2021PAO2/2021_PAO2_1P_Examen/Package_library.v hd