SlideShare una empresa de Scribd logo
1 de 57
Descargar para leer sin conexión
2014-2015 1Máquinas de estados finitos
Universidad
Rey Juan Carlos
Ingeniería de Tecnologías Industriales
Síntesis de circuitos
secuenciales síncronos:
Máquinas de estados finitos
Norberto Malpica
Sistemas Electrónicos Digitales
2014-2015 2Máquinas de estados finitos
En los sistemas secuenciales la salida Z en un determinado instante de tiempo ti
depende de X (la entrada) en ese mismo instante de tiempo ti y en todos los instantes
temporales anteriores. Para ello es necesario que el sistema disponga de elementos
de memoria que le permitan recordar la situación en que se encuentra ( estado).
G,H
X(t) Z(t)
memoria
Realimentación
S(t+1)S(t)
X(t): entrada actual
S(t+1): estado próximo
Z(t): salida actual
S(t): estado actual
Sistemas secuenciales
Como un sistema secuencial es finito, tiene una capacidad de memoria finita
y un conjunto finito de estados posibles máquina finita de estados (FSM:
finite state machine)
2014-2015 3Máquinas de estados finitos
X(t): entrada actual
S(t+1): estado próximo
Z(t): salida actual
S(t): estado actual
Tipos de FSM:
Ü Mealy
Ü Moore
Las FSM constan de:
Ü Un conjunto de entradas X ∈ {X0,X1,...,Xl-1}
Ü Un conjunto de salidas Z ∈ {Z0,Z1,...,Zm-1}
Ü Un conjunto de estados S ∈ {S0,S1,...,Sn-1}
Máquinas de estados finitos
G,H
MEMORIA
X(t) Z(t)
S(t+1)S(t)
2014-2015 4Máquinas de estados finitos
En una máquina de Mealy:
Ü El estado siguiente depende de la entrada y del estado actual.
Ü La salida depende de la entrada y del estado actual.
Máquinas de Mealy
Circuito
Combinacional
X(t) Z(t)
S(t+1)S(t)
CLK
2014-2015 5Máquinas de estados finitos
En una máquina de Moore:
Ü El estado siguiente depende de la entrada y del estado actual.
Ü La salida depende de exclusivamente del estado actual.
Toda máquina de Moore es un caso particular de una máquina de Mealy.
Máquinas de Moore
C. Combinacional
ESTADOS
X(t)
Z(t)
S(t+1)S(t)
CLK
C. Combinacional
SALIDA
2014-2015 6Máquinas de estados finitos
Metodología
1 Identificación de entradas y salidas
2 Diagrama de transición de estados
3 Comprobación y reducción del diagrama
4 Determinación del número de biestables
5 Asignación de estados
6 Tablas de transición de estados, salidas y excitación del autómata
7 Minimización de las funciones lógicas
8 Diseño del circuito
2014-2015 7Máquinas de estados finitos
Diseñar un sistema secuencial con una entrada serie que detecte si los tres
últimos datos recibidos coinciden con la secuencia 100
Ejemplo: Detector de secuencia 100
1 Identificación de entradas y salidas
• Determinar las señales que entran y salen del circuito que se quiere diseñar
• El reloj y el reset deben ir siempre, y no se consideran
• En los sistemas de control, los sensores son entradas al circuito y los
actuadores son las salidas
Sistema
Físico
Actuadores
Sensores
Sistema
Control
CLK Reset
Ej: Detector 100
CLK
Reset
X
Z
2014-2015 8Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
2 Diagrama de transición de estados
• Comenzar por el estado de reposo o inicio (al encender el sistema)
• De cada estado deben salir 2E transiciones (E = nº de entradas)
• En los sistemas físicos se considera la señal de reloj mucho más rápida que
las señales de entrada
Estado
S(t)
Estado
S(t+1)
Entrada X(t) / Salida Z(t)
Mq. Mealy
Ej: Detector 100
S0
S2
S1
b/p
a/p
b/q
a/p
a/p
b/p
inicio
S10
1/0
0/0
0/1
1/0
0/0
1/0
La salida asociada a la transición
2014-2015 9Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
• Para cada estado, comprobar las condiciones de tránsito:
Ø De cada estado deben salir 2E transiciones (E = nº de entradas), salvo
aquellas que sean imposibles
Ø Todas las transiciones tiene que tener valores de entrada distintos
3 Comprobación y reducción del diagrama
• Reducción del diagrama: Dos estados son iguales si a partir de ellos la
evolución es la misma:
Ø Ante una misma combinación de las entradas el sistema, se va a los
mismos estados y con las mismas salidas
En este caso, las condiciones de tránsito de llegada se unen
2014-2015 10Máquinas de estados finitos
Ejemplo: Detector de secuencia 100
Ejemplo (detector de secuencia 100): 3 estados ⇒ 2 biestales
4 Determinación del número de biestables
N estados ⇒ n biestables tal que 2n ≥ N
5 Asignación de estados
• A cada estado se le asigna una combinación de valores de los biestables
Estado
S0
S1
S10
Q1 Q0
0 0
0 1
1 0
2014-2015 11Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
6 Tablas de transición de estados y salidas y excitación del autómata
Ej: Detector 100
S0
S2
S1
b/p
a/p
b/q
a/p
a/p
b/p
inicio
S10
1/0
0/0
0/1
1/0
0/0
1/0
Transición de estados:
Ecuación de estado:
X(t))f(S(t),1)S(t =+
Salidas del circuito:
Moore:
Mealy:
g(S(t))Z(t) =
X(t))g(S(t),Z(t) =
2014-2015 12Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
6 Tablas de transición de estados y salidas y excitación del autómata
S(t) X(t) S(t+1) Z(t)
S0
S1
S10
Ej: Detector 100
S0
S2
S1
b/p
a/p
b/q
a/p
a/p
b/p
inicio
S10
1/0
0/0
0/1
1/0
0/0
1/0
Transición de estados:
Ecuación de estado:
X(t))f(S(t),1)S(t =+
Salidas del circuito:
Moore:
Mealy:
g(S(t))Z(t) =
X(t))g(S(t),Z(t) =
Q1 Q0 Entrada
X
Q’1 Q’0 Salida
Z
0 0 0 0 0 0
0 0 1 0 1 0
0 1 0 1 0 0
0 1 1 0 1 0
1 0 0 0 0 1
1 0 1 0 1 0
1 1 X X X X
2014-2015 13Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
6 Tablas de excitación del autómata
• Se construye a partir de la tabla de transición de estados.
• ¿Qué valor tiene que tomar el biestable para estando en el estado S(t)
pase al estado Q(t+1)?
• La implementación se puede hacer con biestables D, J-K,T, S-R.
Basándonos en las tablas de verdad de los biestables se obtienen los valores
que deben tomar las entradas para pasar del estado Q(t) al Q(t+1)
D Q(t+1)
0 0
1 1
J K Q(t+1)
0 0 Q(t)
0 1 0
1 0 1
1 1 Q(t)
T Q(t+1)
0 Q(t)
1 Q(t)
S R Q(t+1)
0 0 Q(t)
0 1 0
1 0 1
1 1 -
Tablas de verdad de los biestables:
2014-2015 14Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
6 Tablas de excitación del autómata
Basándonos en las tablas de verdad de los biestables se obtienen los valores
que deben tomar las entradas de los biestables para pasar del estado Q(t) al
Q(t+1)
S R Q(t+1)
0 0 Q(t)
0 1 0
1 0 1
1 1 -
Obtengo la tabla
que me da lo que
necesito en S y R
para obtener las
transiciones de Q
Q(t) Q(t+1) S R
0 0 0 X
0 1 1 0
1 0 0 1
1 1 X 0
S R
0 0
0 1
Para pasar 0 → 0
Mantener el valor
o poner un RESET
Ej, para el S- R
Para pasar 0 → 1 :
poner SET
S R
1 0
S R
0 X
Para pasar 1 → 0 :
poner un RESET
S R
0 1
S R
0 0
1 0
Para pasar 1 → 1
Mantener el valor
o poner un SET
S R
X 0
Tabla de verdad para
la obtención del
estado siguiente
Tabla de verdad del S-R
¿tabla para el resto
de biestables?
2014-2015 15Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
6 Tablas de excitación del autómata
S R Q(t+1)
0 0 Q(t)
0 1 0
1 0 1
1 1 -
Q(t) Q(t+1) S R
0 0 0 X
0 1 1 0
1 0 0 1
1 1 X 0
Para los demás biestables se hace de manera similar:
J K Q(t+1)
0 0 Q(t)
0 1 0
1 0 1
1 1 Q(t)
Q(t) Q(t+1) J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0
D Q(t+1)
0 0
1 1
Q(t) Q(t+1) D
0 0 0
0 1 1
1 0 0
1 1 1
T Q(t+1)
0 Q(t)
1 Q(t)
Q(t) Q(t+1) T
0 0 0
0 1 1
1 0 1
1 1 0
2014-2015 16Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
6 Tablas de excitación del autómata
Q1 Q0 Entrada
X
Q’1 Q’0 Salida
Z
J’1 K’1 J’0 K’0
0 0 0 0 0 0 0 X 0 X
0 0 1 0 1 0 0 X 1 X
0 1 0 1 0 0 1 X X 1
0 1 1 0 1 0 0 X X 0
1 0 0 0 0 1 X 1 0 X
1 0 1 0 1 0 X 1 1 X
1 1 X X X X X X X X
Se completa la tabla de transición de estados con los valores necesarios en las
entradas de los biestables para realizar la transición de estado
Ej.: biestables J-K
Q(t) Q(t+1) J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0
Q0→Q'0
0 → 0
S(t) S(t+1)
entradas en J-K para
pasar de S(t) a S(t+1)
para esta transición se
necesita J0=0, K0=X
Ej:
2014-2015 17Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
7 Minimización de las funciones lógicas
Q1 Q0 Entrada
X
J’1
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 X
1 0 1 X
1 1 X X
Se obtienen las ecuaciones lógicas de las J, K y las salidas (Z), en función del
estado actual (Q1 y Q0) y las entradas (X)
Para minimizarlas se emplean los mapas de Karnaugh
Ej, para J1
0 1 X X
0 0 X X
00 01 11 10
0
1
Q1Q0
X
X · Q0
J1 = X·Q0
2014-2015 18Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
7 Minimización de las funciones lógicas
De la misma manera se hace para las demás
entradas de los biestables (J, K) y la salida (X)
X X X 1
X X X 1
00 01 11 10
0
1
Q1Q0
X
1
K1 = 1
XXXXXXXX11
X11X010101
X01X100001
0XX0010110
1XX1001010
X1X0010100
X0X0000000
K’0J’0K’1J’1Salida
Z
Q’0Q’1Entrada
X
Q0Q1
XXXXXXXX11
X11X010101
X01X100001
0XX0010110
1XX1001010
X1X0010100
X0X0000000
K’0J’0K’1J’1Salida
Z
Q’0Q’1Entrada
X
Q0Q1
K1
X 1 X X
X 0 X X
00 01 11 10
0
1
Q1Q0
X
K0 = X
K0
X
0 X X 0
1 X X 1
00 01 11 10
0
1
Q1Q0
X
XJ0 = X
J0
0 0 X 1
0 0 X 0
00 01 11 10
0
1
Q1Q0
X
X·Q1
Z = X·Q1
Z
2014-2015 19Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
A partir de las
ecuaciones se puede
construir el circuito
K1 = 1
K0 = X
J0 = X
Z = X·Q1
J1 = X·Q0
8 Diseño del circuito (Implementación biestables J-K)
CLK
'1'
J0
K0
Q0 J1
K1
Q1
Z
X
La salida depende de la entrada
2014-2015 20Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
6 Tablas de excitación del autómata (biestables D)
Q1 Q0 Entrada
X
Q’1 Q’0 Salida
Z
D’1 D’0
0 0 0 0 0 0 0 0
0 0 1 0 1 0 0 1
0 1 0 1 0 0 1 0
0 1 1 0 1 0 0 1
1 0 0 0 0 1 0 0
1 0 1 0 1 0 0 1
1 1 X X X X X X
Hacemos el mismo circuito pero con biestables D
D coincide con Q'
2014-2015 21Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
XXXXXX11
10010101
00100001
10010110
01001010
10010100
00000000
D’0D’1Salida
Z
Q’0Q’1Entrada
X
Q0Q1
XXXXXX11
10010101
00100001
10010110
01001010
10010100
00000000
D’0D’1Salida
Z
Q’0Q’1Entrada
X
Q0Q1
7 Minimización de las funciones lógicas (biestables D)
0 0 X 0
1 1 X 1
00 01 11 10
0
1
Q1Q0
X
XD0 = X
D0
Z Z = X·Q1
0 1 X 0
0 0 X 0
00 01 11 10
0
1
Q1Q0
X
D1
D1 = X·Q0
X·Q0
Para la salida no hace falta
repetir porque la salida es igual
que para J-K (es igual en todos)
2014-2015 22Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
A partir de las ecuaciones
se construye el circuito
Z = X·Q1
8 Diseño del circuito (Implementación biestables D)
CLK
D0 Q0 D1 Q1
Z
X
D0 = X
D1 = X·Q0
La salida depende de
la entrada
2014-2015 23Máquinas de estados finitos
En una máquina de Moore:
Ü El estado siguiente depende de la entrada y del estado actual.
Ü La salida depende de exclusivamente del estado actual.
Toda máquina de Moore es un caso particular de una máquina de Mealy.
Máquinas de Moore
C. Combinacional
ESTADOS
X(t)
Z(t)
S(t+1)S(t)
CLK
C. Combinacional
SALIDA
2014-2015 24Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MOORE)
2 Diagrama de transición de estados
Estado S(t)/
Z(t)
Estado S(t+1)/
Z(t+1)
Entrada X(t)
Mq. Moore Ej: Detector 100
La salida asociada al estado
S0/p
S3/q
S1/p
bb
aa
b
inicio
S2/p
a
a
b
1
1
0
01
1
0
0
S0/0 S1/0
S10/0S100/1
3 Comprobación y reducción del diagrama
2014-2015 25Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MOORE)
Ejemplo (detector de secuencia 100): 4 estados ⇒ 2 biestales
4 Determinación del número de biestables
N estados ⇒ n biestables tal que 2n ≥ N
5 Asignación de estados
• A cada estado se le asigna una combinación de valores de los biestables
Estado
S0
S1
S10
S100
Q1 Q0
0 0
0 1
1 0
1 1
2014-2015 26Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MOORE)
6 Tablas de transición de estados y salidas y excitación del autómata
S(t) X(t) S(t+1) Z(t)
S0
S1
S10
Transición de estados:
Ecuación de estado:
X(t))f(S(t),1)S(t =+
Salidas del circuito:
Moore:
Mealy:
g(S(t))Z(t) =
X(t))g(S(t),Z(t) =
Ej: Detector 100
S0/p
S3/q
S1/p
bb
aa
b
inicio
S2/p
a
a
b
1
1
0
01
1
0
0
S0/0 S1/0
S10/0S100/1
Q1 Q0 Entrada
X
Q’1 Q’0 Salida
Z
0 0 0 0 0 0
0 0 1 0 1 0
0 1 0 1 0 0
0 1 1 0 1 0
1 0 0 1 1 0
1 0 1 0 1 0
1 1 0 0 0 1
1 1 1 0 1 1
S100
¿Tabla de excitación:
D, J-K, S-R?
2014-2015 27Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MOORE)
6 Tablas de excitación del autómata
• Se construye a partir de la tabla de transición de estados.
• ¿Qué valor tiene que tomar el biestable para estando en el estado S(t)
pase al estado S(t+1)?
• La implementación se puede hacer con biestables D, J-K,T, S-R.
Q1 Q0 Entrada
X
Q’1 Q’0 Salida
Z
J’1 K’1 J’0 K’0 D’1 D’0
0 0 0 0 0 0 0 X 0 X 0 0
0 0 1 0 1 0 0 X 1 X 0 1
0 1 0 1 0 0 1 X X 1 1 0
0 1 1 0 1 0 0 X X 0 0 1
1 0 0 1 1 0 X 0 1 X 1 1
1 0 1 0 1 0 X 1 1 X 0 1
1 1 0 0 0 1 X 1 X 1 0 0
1 1 1 0 1 1 X 1 X 0 0 1
2014-2015 28Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (M00RE)
7 Minimización de las funciones lógicas
2014-2015 29Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (MEALY)
8 Diseño del circuito (Implementación biestables J-K)
2014-2015 30Máquinas de estados finitos
Ejemplo: Detector de secuencia 100 (M00RE)
8 Diseño del circuito (Implementación biestables D)
01010
0010011
0010
QQ)Q,(QZ
XQQXQQD
XQQD
⋅=
⋅⋅+⋅⋅=
+⋅=
D1
D0
2014-2015 31Máquinas de estados finitos
Síntesis: Metodología
1 Identificación de entradas y salidas
2 Diagrama de transición de estados
3 Comprobación y reducción del diagrama
4 Determinación del número de biestables
5 Asignación de estados
6 Tablas de transición de estados y salidas y excitación del autómata
7 Minimización de las funciones lógicas
8 Diseño del circuito
2014-2015 32Máquinas de estados finitos
El proceso que hay que realizar es el inverso al de la síntesis.
Problema de “ingeniería inversa”
Análisis de máquinas de estados finitos
I Identificación de entradas, estados y salidas
II Expresiones algebraicas
III Tabla de excitación del autómata
IV Tabla de transición de estados
V Diagrama de transición de estados
2014-2015 33Máquinas de estados finitos
Veremos los pasos del análisis a través de un ejemplo.
Análisis
1
0
2014-2015 34Máquinas de estados finitos
II. Expresiones algebraicas
I. Identificación de entradas, estados y salidas
Entradas: X ∈ {0,1}
Salidas: Z ∈ {0,1}
Variables de estado: 2 {Q0,Q1} darán lugar a 4 estados como máximo
Análisis
2014-2015 35Máquinas de estados finitos
Análisis
1
0
II. Expresiones algebraicas
00 xQ ⋅
01 xQ ⋅
0x
0x
2014-2015 36Máquinas de estados finitos
II. Expresiones algebraicas
III. Obtención de la
tabla de excitación del
autómata
100
1
001
00
00
QXZ
1K
QXJ
XK
XJ
⋅=
=
⋅=
=
=
Análisis
Mq. de Mealy:
Z= f (entrada, estado)
Q1 Q0 Entrada
X
J1 K1 J0 K0
0 0 0 0 1 1 0
0 0 1 0 1 0 1
0 1 0 0 1 1 0
0 1 1 1 1 0 1
1 0 0 0 1 1 0
1 0 1 0 1 0 1
1 1 0 0 1 1 0
1 1 1 1 1 0 1
¿Cuál será el
estado siguiente
y la salida del
autómata?
2014-2015 37Máquinas de estados finitos
II. Expresiones algebraicas
III. Obtención de la
tabla de excitación del
autómata
100
1
001
00
00
QXZ
1K
QXJ
XK
XJ
⋅=
=
⋅=
=
=
Análisis
Mq. de Mealy:
Z= f (entrada, estado)
Q1 Q0 Entrada
X
J1 K1 J0 K0 Q’1 Q’0 Salida
Z
0 0 0 0 1 1 0 0 1 0
0 0 1 0 1 0 1 0 0 0
0 1 0 0 1 1 0 0 1 0
0 1 1 1 1 0 1 1 0 0
1 0 0 0 1 1 0 0 1 0
1 0 1 0 1 0 1 0 0 1
1 1 0 0 1 1 0 0 1 0
1 1 1 1 1 0 1 0 0 1
2014-2015 38Máquinas de estados finitos
IV. Obtención de la tabla de transición de estados y salida
Análisis Máquina de Mealy
X
Q’1Q’0 /Z
CODIFICACIÓN Q1 Q0 0 1
S0 0 0 01/0 00/0
S1 0 1 01/0 10/0
S2 1 0 01/0 00/1
S3 1 1 01/0 00/1
2014-2015 39Máquinas de estados finitos
V. Obtención del diagrama de transición de estados
Pueden salir estados inconexos y/o inaccesibles.
Análisis Máquina de Mealy
S0
S2
S1
b/p
a/p
b/q
a/p
a/p
b/p
inicio
S3b/p
a/p
0/0
0/0
0/0
0/0
1/0
1/0
1/0
1/1
S3
2014-2015 40Máquinas de estados finitos
Análisis: otro ejemplo
2014-2015 41Máquinas de estados finitos
II. Expresiones algebraicas
I. Identificación de entradas, estados y salidas
Entradas: X ∈ {0,1}
Salidas: Z ∈ {0,1}
Variables de estado: 2 {Q0,Q1} darán lugar a 4 estados como máximo
Análisis
2014-2015 42Máquinas de estados finitos
Análisis
01 QQ ⋅
0x
1
0
10 QQ ⋅
010 QQx ⋅⋅
100 QQx ⋅⋅
2014-2015 43Máquinas de estados finitos
II. Expresiones algebraicas
III. Obtención de la
tabla de excitación del
autómata
Análisis
Mq. de Moore: Z= f (estado)
Q1 Q0 Entrada
X
D1 D0
0 0 0 0 1
0 0 1 0 0
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 1
1 1 0 0 1
1 1 1 0 0
¿Cuál será el
estado siguiente
y la salida del
autómata?
010
00100111
00100
QQZ
XQQXQQD'Q
XQQD'Q
⋅=
⋅⋅+⋅⋅==
+⋅==
2014-2015 44Máquinas de estados finitos
II. Expresiones algebraicas
III. Obtención de la
tabla de excitación del
autómata
Análisis
Mq. de Moore: Z= f (estado)010
00100111
00100
QQZ
XQQXQQD'Q
XQQD'Q
⋅=
⋅⋅+⋅⋅==
+⋅==
Q1 Q0 Entrada
X
D1 D0 Q’1 Q’0 Salida
Z
0 0 0 0 1 0 1 0
0 0 1 0 0 0 0 0
0 1 0 0 1 0 1 0
0 1 1 1 0 1 0 0
1 0 0 0 1 0 1 0
1 0 1 1 1 1 1 0
1 1 0 0 1 0 1 1
1 1 1 0 0 0 0 1
2014-2015 45Máquinas de estados finitos
IV. Obtención de la tabla de transición de estados y salida
Análisis
X
Q’1Q’0 Q’1Q’0
CODIFICACIÓN Q1 Q0 0 1 Z
S0 0 0 01 00 0
S1 0 1 01 10 0
S2 1 0 01 11 0
S3 1 1 01 00 1
2014-2015 46Máquinas de estados finitos
V. Obtención del diagrama de transición de estados
Análisis
S0/p
S3/q
S1/p
bb
aa
b
inicio
S2/p
a
a
b
0 0
0
0
1
1
1
1
S0/0 S1/0
S3/1 S2/0
2014-2015 47Máquinas de estados finitos
Implementación de máquinas secuenciales síncronas
! Implementación de forma canónica: tablas, biestables y puertas
! Implementación desde otros enfoques hardware: multiplexores, registros,
etc…
! Implementación de autónomas con los estados codificados con el código
one-hot (One Hot Encoding)
! Implementación utilizando técnicas ASM ( Algoritmic State Machine) y RT
( Transferencia entre Registros)
! Implementación con dispositivos lógicos programables: PLD, CPLD,
FPGAs
ü
ü
ü
2014-2015 48Máquinas de estados finitos
Máquinas secuenciales síncronas: consideraciones
Ø Moore vs. Mealy:
• En Moore la salida no depende de la entrada, es decir los cambios en la
entrada que no alteren el estado no pueden alterar el valor de la salida ⇒
Protección contra señales espurias
• En una máquina de Moore la respuesta de la salida de retarda hasta en
un periodo de reloj
Ø “Clock Skew”: la señal de reloj no llega a la vez a los distintos
componentes:
• Longitud del bus
• Líneas no “equilibradas”
• “Crosstalk”
• Rutado automático de las herramientas CAD
CLK CLK1
CLK2
CLK3
2014-2015 49Máquinas de estados finitos
Máquinas secuenciales síncronas: entradas asíncronas
En los sistemas reales las entradas son ASÍNCRONAS, no se encuentran
sincronizadas con un reloj ⇒ sistemas secuenciales asíncronos. Existen
técnicas de análisis y diseño específicas para los sistemas secuenciales
asíncronos, aunque lo más usual es “sincronizarlos”:
1.  Se introduce un reloj a una frecuencia suficiente para muestrear las
entradas.
2.  Se “bloquean” las entradas asíncronas mediante la interposición de un
biestable D síncrono por flanco.
¿Qué ocurre si se produce
metaestabilidad?
D
Q
Q
SET
CLR
Entrada
sincronizada
Entrada
asíncrona
Reloj Reloj
Máquina
secuencial
2014-2015 50Máquinas de estados finitos
Máquinas secuenciales síncronas: entradas asíncronas
Las entradas asíncronas pueden no respetar los parámetros de temporización
del biestable y hacer que quede en estado metaestable.
Si se supone que la metaestabiliad dura menos que un periodo de reloj, se
puede interponer un biestable más para evitar que el sistema se vea afectado
(si no, será preciso interponer varios).
D
Q
Q
SET
CLR
Entrada sincronizada
no metaestable
Entrada
asíncrona
Reloj Reloj
Máquina
secuencial
D
Q
Q
SET
CLR
Entrada sincronizada
quizá metaestable
2014-2015 51Máquinas de estados finitos
Aspectos tecnológicos
Ø Señal de reloj: Cristal de cuarzo
ü Alta estabilidad
ü  Facilidad de diseño
û Alto coste (aprox 1 €).
û Consumo alto
2014-2015 52Máquinas de estados finitos
Aspectos tecnológicos
ü  Estabilidad media
ü  Facilidad de diseño
û  Consumo alto
Ø Señal de reloj: Resonador cerámico
2014-2015 53Máquinas de estados finitos
Aspectos tecnológicos
ü Bajo coste
ü Pocos componentes y espacio
en placa
û Difícil de diseñar y ajustar
û Poco estable a la temperatura y
variaciones de voltaje
û No adecuado para aplicaciones
con temporización fuerte
Ø Señal de reloj: Oscilador RC
Circuitos RC (para f < 600KHz)
)RC4,1(
1f ≈
)RC4,1(
1f ≈
2014-2015 54Máquinas de estados finitos
Aspectos tecnológicos
Ø Circuito de reset ( power-on-reset)
R
C
CMOS: R= 27 KΩ, C= 100 nF
TTL : R= 1 KΩ, C= 220 pF
Diodo para descargar
rápidamente C en caso
de fallo de alimentación
para producir un reset
Pulsador de
Reset
2014-2015 55Máquinas de estados finitos
Aspectos tecnológicos
Ø Tensión de alimentación
Regulador lineal
Reguladores de tensión de 3.3V, 5V, 12V y 15V
(Más usual 7805 de 5V).
Ø Circuitos antirrobotes
2014-2015 56Máquinas de estados finitos
Ejercicio
•  Diseñar una máquina expendedora de café con tres entradas
ü  La A se pone a uno cada vez que se introduce una moneda de 20
céntimos en la máquina.
ü  La entrada B solicita un café.
ü  La entrada C indica la devolución de la vuelta.
•  El circuito tiene una salida, que indica a la máquina que haga el
café.
•  El café cuesta 60 céntimos.
•  Diseña una máquina de estados que cuenta el número de
monedas de entrada, y devuelve un café una vez que se hayan
introducido 3 monedas, y el usuario solicite el café. El botón de
solicitud de vuelta pone a cero el número de monedas.
2014-2015 57Máquinas de estados finitos
Ejercicio
•  Diseñar un sumador serie de dos bits: Recibe pares de bits como
entrada y va produciendo el resultado de la suma como salida.

Más contenido relacionado

La actualidad más candente

Criterio de estabilidad en los s.de control automatico
Criterio de estabilidad en los s.de control automaticoCriterio de estabilidad en los s.de control automatico
Criterio de estabilidad en los s.de control automaticoCarlos Enrrique Rivera Moran
 
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOFernando Marcos Marcos
 
06 error en estado estable o estacionario
06   error en estado estable o estacionario06   error en estado estable o estacionario
06 error en estado estable o estacionarioitcc
 
Latches y flip flops
Latches y flip flopsLatches y flip flops
Latches y flip flopsJimmy Osores
 
Compensadores adelanto-y-atraso
Compensadores adelanto-y-atrasoCompensadores adelanto-y-atraso
Compensadores adelanto-y-atrasoxino7
 
Electrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronosElectrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronosSANTIAGO PABLO ALBERTO
 
digitalizacion de controladores
digitalizacion de controladoresdigitalizacion de controladores
digitalizacion de controladoresЭрык Реки
 

La actualidad más candente (20)

Sumador\Restador
Sumador\RestadorSumador\Restador
Sumador\Restador
 
Análisis de la respuesta del sistema
Análisis de la respuesta del sistemaAnálisis de la respuesta del sistema
Análisis de la respuesta del sistema
 
Problemas de Regulación Automática
Problemas de Regulación AutomáticaProblemas de Regulación Automática
Problemas de Regulación Automática
 
Rect pd th
Rect pd th Rect pd th
Rect pd th
 
2da tarea de control
2da tarea de control2da tarea de control
2da tarea de control
 
Criterio de estabilidad en los s.de control automatico
Criterio de estabilidad en los s.de control automaticoCriterio de estabilidad en los s.de control automatico
Criterio de estabilidad en los s.de control automatico
 
Circuito combinacional
Circuito combinacionalCircuito combinacional
Circuito combinacional
 
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
 
decodificador
decodificadordecodificador
decodificador
 
06 error en estado estable o estacionario
06   error en estado estable o estacionario06   error en estado estable o estacionario
06 error en estado estable o estacionario
 
Variables de estado
Variables de estadoVariables de estado
Variables de estado
 
Latches y flip flops
Latches y flip flopsLatches y flip flops
Latches y flip flops
 
Transformada z
Transformada zTransformada z
Transformada z
 
Descargadores.ppt
Descargadores.pptDescargadores.ppt
Descargadores.ppt
 
Flip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los LatchFlip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los Latch
 
Compensadores adelanto-y-atraso
Compensadores adelanto-y-atrasoCompensadores adelanto-y-atraso
Compensadores adelanto-y-atraso
 
Electrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronosElectrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronos
 
Compensacion de adelanto de fase
Compensacion  de adelanto de faseCompensacion  de adelanto de fase
Compensacion de adelanto de fase
 
digitalizacion de controladores
digitalizacion de controladoresdigitalizacion de controladores
digitalizacion de controladores
 
Cuadripolos c2
Cuadripolos   c2Cuadripolos   c2
Cuadripolos c2
 

Similar a Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas de estados finitos

S_S de tiempo continuo_V2020.pptx
S_S de tiempo continuo_V2020.pptxS_S de tiempo continuo_V2020.pptx
S_S de tiempo continuo_V2020.pptxCrazyGamerSL
 
Electrónica digital: Circuitos Secuenciales síncronos
Electrónica digital: Circuitos Secuenciales síncronos Electrónica digital: Circuitos Secuenciales síncronos
Electrónica digital: Circuitos Secuenciales síncronos SANTIAGO PABLO ALBERTO
 
Modelado de sistemas dinámicos
Modelado de sistemas dinámicosModelado de sistemas dinámicos
Modelado de sistemas dinámicosJolman Mera
 
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdfejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdfNercy Karen Limachi Quispe
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoSANTIAGO PABLO ALBERTO
 
Analisis de error en estado estacionario
Analisis de error en estado estacionarioAnalisis de error en estado estacionario
Analisis de error en estado estacionarioHenry Alvarado
 
Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales SANTIAGO PABLO ALBERTO
 
Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01YefBecerra
 
Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01Jesus Mª Cuadrado
 
FUNCION DE TRANSFERENCIA PULSO.pptx
FUNCION DE TRANSFERENCIA PULSO.pptxFUNCION DE TRANSFERENCIA PULSO.pptx
FUNCION DE TRANSFERENCIA PULSO.pptxAndrsHernndez905141
 
Sistemas de primer orden
Sistemas de primer ordenSistemas de primer orden
Sistemas de primer ordenHenry Alvarado
 
Modelado y Estudio de un Sistema Dinámico de Primer Orden
Modelado y Estudio de un Sistema Dinámico de Primer OrdenModelado y Estudio de un Sistema Dinámico de Primer Orden
Modelado y Estudio de un Sistema Dinámico de Primer OrdenAngel Contreas
 

Similar a Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas de estados finitos (20)

Td apunte8
Td apunte8Td apunte8
Td apunte8
 
T3.2.sistemas 1er orden 1314 v02
T3.2.sistemas 1er orden 1314 v02T3.2.sistemas 1er orden 1314 v02
T3.2.sistemas 1er orden 1314 v02
 
T3.2.sistemas 1er orden 1314 v02
T3.2.sistemas 1er orden 1314 v02T3.2.sistemas 1er orden 1314 v02
T3.2.sistemas 1er orden 1314 v02
 
S_S de tiempo continuo_V2020.pptx
S_S de tiempo continuo_V2020.pptxS_S de tiempo continuo_V2020.pptx
S_S de tiempo continuo_V2020.pptx
 
Electrónica digital: Circuitos Secuenciales síncronos
Electrónica digital: Circuitos Secuenciales síncronos Electrónica digital: Circuitos Secuenciales síncronos
Electrónica digital: Circuitos Secuenciales síncronos
 
flip flop.pdf
flip flop.pdfflip flop.pdf
flip flop.pdf
 
Examen mejorami sem20152016
Examen mejorami sem20152016Examen mejorami sem20152016
Examen mejorami sem20152016
 
Maquinas de estado
Maquinas de estadoMaquinas de estado
Maquinas de estado
 
Modelado de sistemas dinámicos
Modelado de sistemas dinámicosModelado de sistemas dinámicos
Modelado de sistemas dinámicos
 
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdfejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estado
 
Analisis de error en estado estacionario
Analisis de error en estado estacionarioAnalisis de error en estado estacionario
Analisis de error en estado estacionario
 
Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales
 
Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01
 
Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01
 
Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01Cuaderno de ejercicios_2012_r01
Cuaderno de ejercicios_2012_r01
 
FUNCION DE TRANSFERENCIA PULSO.pptx
FUNCION DE TRANSFERENCIA PULSO.pptxFUNCION DE TRANSFERENCIA PULSO.pptx
FUNCION DE TRANSFERENCIA PULSO.pptx
 
Control digital
Control digitalControl digital
Control digital
 
Sistemas de primer orden
Sistemas de primer ordenSistemas de primer orden
Sistemas de primer orden
 
Modelado y Estudio de un Sistema Dinámico de Primer Orden
Modelado y Estudio de un Sistema Dinámico de Primer OrdenModelado y Estudio de un Sistema Dinámico de Primer Orden
Modelado y Estudio de un Sistema Dinámico de Primer Orden
 

Más de SANTIAGO PABLO ALBERTO

Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaSANTIAGO PABLO ALBERTO
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez SANTIAGO PABLO ALBERTO
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio PereiraSANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTSANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...SANTIAGO PABLO ALBERTO
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...SANTIAGO PABLO ALBERTO
 

Más de SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Último

Introducción a los sistemas neumaticos.ppt
Introducción a los sistemas neumaticos.pptIntroducción a los sistemas neumaticos.ppt
Introducción a los sistemas neumaticos.pptEduardoCorado
 
183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdf183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdfEdwinAlexanderSnchez2
 
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)ssuser563c56
 
Unidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptxUnidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptxEverardoRuiz8
 
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAIPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAJAMESDIAZ55
 
Manual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdfManual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdfedsonzav8
 
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONALCHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONALKATHIAMILAGRITOSSANC
 
Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023
Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023
Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023ANDECE
 
Magnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMagnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMarceloQuisbert6
 
Presentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdfPresentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdfMirthaFernandez12
 
Caldera Recuperadora de químicos en celulosa tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa  tipos y funcionamientoCaldera Recuperadora de químicos en celulosa  tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa tipos y funcionamientoRobertoAlejandroCast6
 
Topografía 1 Nivelación y Carretera en la Ingenierías
Topografía 1 Nivelación y Carretera en la IngenieríasTopografía 1 Nivelación y Carretera en la Ingenierías
Topografía 1 Nivelación y Carretera en la IngenieríasSegundo Silva Maguiña
 
Comite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptxComite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptxClaudiaPerez86192
 
CICLO DE DEMING que se encarga en como mejorar una empresa
CICLO DE DEMING que se encarga en como mejorar una empresaCICLO DE DEMING que se encarga en como mejorar una empresa
CICLO DE DEMING que se encarga en como mejorar una empresaSHERELYNSAMANTHAPALO1
 
Seleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusiblesSeleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusiblesSaulSantiago25
 
Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...
Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...
Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...Francisco Javier Mora Serrano
 
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfReporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfMikkaelNicolae
 
SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.
SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.
SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.ariannytrading
 
Curso intensivo de soldadura electrónica en pdf
Curso intensivo de soldadura electrónica  en pdfCurso intensivo de soldadura electrónica  en pdf
Curso intensivo de soldadura electrónica en pdfFernandaGarca788912
 
estadisticasII Metodo-de-la-gran-M.pdf
estadisticasII   Metodo-de-la-gran-M.pdfestadisticasII   Metodo-de-la-gran-M.pdf
estadisticasII Metodo-de-la-gran-M.pdfFlorenciopeaortiz
 

Último (20)

Introducción a los sistemas neumaticos.ppt
Introducción a los sistemas neumaticos.pptIntroducción a los sistemas neumaticos.ppt
Introducción a los sistemas neumaticos.ppt
 
183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdf183045401-Terminal-Terrestre-de-Trujillo.pdf
183045401-Terminal-Terrestre-de-Trujillo.pdf
 
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)Voladura Controlada  Sobrexcavación (como se lleva a cabo una voladura)
Voladura Controlada Sobrexcavación (como se lleva a cabo una voladura)
 
Unidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptxUnidad 3 Administracion de inventarios.pptx
Unidad 3 Administracion de inventarios.pptx
 
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESAIPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
IPERC Y ATS - SEGURIDAD INDUSTRIAL PARA TODA EMPRESA
 
Manual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdfManual_Identificación_Geoformas_140627.pdf
Manual_Identificación_Geoformas_140627.pdf
 
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONALCHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
CHARLA DE INDUCCIÓN SEGURIDAD Y SALUD OCUPACIONAL
 
Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023
Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023
Centro Integral del Transporte de Metro de Madrid (CIT). Premio COAM 2023
 
Magnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principiosMagnetismo y electromagnetismo principios
Magnetismo y electromagnetismo principios
 
Presentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdfPresentación Proyecto Trabajo Creativa Profesional Azul.pdf
Presentación Proyecto Trabajo Creativa Profesional Azul.pdf
 
Caldera Recuperadora de químicos en celulosa tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa  tipos y funcionamientoCaldera Recuperadora de químicos en celulosa  tipos y funcionamiento
Caldera Recuperadora de químicos en celulosa tipos y funcionamiento
 
Topografía 1 Nivelación y Carretera en la Ingenierías
Topografía 1 Nivelación y Carretera en la IngenieríasTopografía 1 Nivelación y Carretera en la Ingenierías
Topografía 1 Nivelación y Carretera en la Ingenierías
 
Comite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptxComite Operativo Ciberseguridad 012020.pptx
Comite Operativo Ciberseguridad 012020.pptx
 
CICLO DE DEMING que se encarga en como mejorar una empresa
CICLO DE DEMING que se encarga en como mejorar una empresaCICLO DE DEMING que se encarga en como mejorar una empresa
CICLO DE DEMING que se encarga en como mejorar una empresa
 
Seleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusiblesSeleccion de Fusibles en media tension fusibles
Seleccion de Fusibles en media tension fusibles
 
Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...
Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...
Hanns Recabarren Diaz (2024), Implementación de una herramienta de realidad v...
 
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdfReporte de simulación de flujo del agua en un volumen de control MNVA.pdf
Reporte de simulación de flujo del agua en un volumen de control MNVA.pdf
 
SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.
SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.
SOLICITUD-PARA-LOS-EGRESADOS-UNEFA-2022.
 
Curso intensivo de soldadura electrónica en pdf
Curso intensivo de soldadura electrónica  en pdfCurso intensivo de soldadura electrónica  en pdf
Curso intensivo de soldadura electrónica en pdf
 
estadisticasII Metodo-de-la-gran-M.pdf
estadisticasII   Metodo-de-la-gran-M.pdfestadisticasII   Metodo-de-la-gran-M.pdf
estadisticasII Metodo-de-la-gran-M.pdf
 

Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas de estados finitos

  • 1. 2014-2015 1Máquinas de estados finitos Universidad Rey Juan Carlos Ingeniería de Tecnologías Industriales Síntesis de circuitos secuenciales síncronos: Máquinas de estados finitos Norberto Malpica Sistemas Electrónicos Digitales
  • 2. 2014-2015 2Máquinas de estados finitos En los sistemas secuenciales la salida Z en un determinado instante de tiempo ti depende de X (la entrada) en ese mismo instante de tiempo ti y en todos los instantes temporales anteriores. Para ello es necesario que el sistema disponga de elementos de memoria que le permitan recordar la situación en que se encuentra ( estado). G,H X(t) Z(t) memoria Realimentación S(t+1)S(t) X(t): entrada actual S(t+1): estado próximo Z(t): salida actual S(t): estado actual Sistemas secuenciales Como un sistema secuencial es finito, tiene una capacidad de memoria finita y un conjunto finito de estados posibles máquina finita de estados (FSM: finite state machine)
  • 3. 2014-2015 3Máquinas de estados finitos X(t): entrada actual S(t+1): estado próximo Z(t): salida actual S(t): estado actual Tipos de FSM: Ü Mealy Ü Moore Las FSM constan de: Ü Un conjunto de entradas X ∈ {X0,X1,...,Xl-1} Ü Un conjunto de salidas Z ∈ {Z0,Z1,...,Zm-1} Ü Un conjunto de estados S ∈ {S0,S1,...,Sn-1} Máquinas de estados finitos G,H MEMORIA X(t) Z(t) S(t+1)S(t)
  • 4. 2014-2015 4Máquinas de estados finitos En una máquina de Mealy: Ü El estado siguiente depende de la entrada y del estado actual. Ü La salida depende de la entrada y del estado actual. Máquinas de Mealy Circuito Combinacional X(t) Z(t) S(t+1)S(t) CLK
  • 5. 2014-2015 5Máquinas de estados finitos En una máquina de Moore: Ü El estado siguiente depende de la entrada y del estado actual. Ü La salida depende de exclusivamente del estado actual. Toda máquina de Moore es un caso particular de una máquina de Mealy. Máquinas de Moore C. Combinacional ESTADOS X(t) Z(t) S(t+1)S(t) CLK C. Combinacional SALIDA
  • 6. 2014-2015 6Máquinas de estados finitos Metodología 1 Identificación de entradas y salidas 2 Diagrama de transición de estados 3 Comprobación y reducción del diagrama 4 Determinación del número de biestables 5 Asignación de estados 6 Tablas de transición de estados, salidas y excitación del autómata 7 Minimización de las funciones lógicas 8 Diseño del circuito
  • 7. 2014-2015 7Máquinas de estados finitos Diseñar un sistema secuencial con una entrada serie que detecte si los tres últimos datos recibidos coinciden con la secuencia 100 Ejemplo: Detector de secuencia 100 1 Identificación de entradas y salidas • Determinar las señales que entran y salen del circuito que se quiere diseñar • El reloj y el reset deben ir siempre, y no se consideran • En los sistemas de control, los sensores son entradas al circuito y los actuadores son las salidas Sistema Físico Actuadores Sensores Sistema Control CLK Reset Ej: Detector 100 CLK Reset X Z
  • 8. 2014-2015 8Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 2 Diagrama de transición de estados • Comenzar por el estado de reposo o inicio (al encender el sistema) • De cada estado deben salir 2E transiciones (E = nº de entradas) • En los sistemas físicos se considera la señal de reloj mucho más rápida que las señales de entrada Estado S(t) Estado S(t+1) Entrada X(t) / Salida Z(t) Mq. Mealy Ej: Detector 100 S0 S2 S1 b/p a/p b/q a/p a/p b/p inicio S10 1/0 0/0 0/1 1/0 0/0 1/0 La salida asociada a la transición
  • 9. 2014-2015 9Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) • Para cada estado, comprobar las condiciones de tránsito: Ø De cada estado deben salir 2E transiciones (E = nº de entradas), salvo aquellas que sean imposibles Ø Todas las transiciones tiene que tener valores de entrada distintos 3 Comprobación y reducción del diagrama • Reducción del diagrama: Dos estados son iguales si a partir de ellos la evolución es la misma: Ø Ante una misma combinación de las entradas el sistema, se va a los mismos estados y con las mismas salidas En este caso, las condiciones de tránsito de llegada se unen
  • 10. 2014-2015 10Máquinas de estados finitos Ejemplo: Detector de secuencia 100 Ejemplo (detector de secuencia 100): 3 estados ⇒ 2 biestales 4 Determinación del número de biestables N estados ⇒ n biestables tal que 2n ≥ N 5 Asignación de estados • A cada estado se le asigna una combinación de valores de los biestables Estado S0 S1 S10 Q1 Q0 0 0 0 1 1 0
  • 11. 2014-2015 11Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 6 Tablas de transición de estados y salidas y excitación del autómata Ej: Detector 100 S0 S2 S1 b/p a/p b/q a/p a/p b/p inicio S10 1/0 0/0 0/1 1/0 0/0 1/0 Transición de estados: Ecuación de estado: X(t))f(S(t),1)S(t =+ Salidas del circuito: Moore: Mealy: g(S(t))Z(t) = X(t))g(S(t),Z(t) =
  • 12. 2014-2015 12Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 6 Tablas de transición de estados y salidas y excitación del autómata S(t) X(t) S(t+1) Z(t) S0 S1 S10 Ej: Detector 100 S0 S2 S1 b/p a/p b/q a/p a/p b/p inicio S10 1/0 0/0 0/1 1/0 0/0 1/0 Transición de estados: Ecuación de estado: X(t))f(S(t),1)S(t =+ Salidas del circuito: Moore: Mealy: g(S(t))Z(t) = X(t))g(S(t),Z(t) = Q1 Q0 Entrada X Q’1 Q’0 Salida Z 0 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 0 0 0 1 1 0 1 0 1 0 0 0 0 1 1 0 1 0 1 0 1 1 X X X X
  • 13. 2014-2015 13Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 6 Tablas de excitación del autómata • Se construye a partir de la tabla de transición de estados. • ¿Qué valor tiene que tomar el biestable para estando en el estado S(t) pase al estado Q(t+1)? • La implementación se puede hacer con biestables D, J-K,T, S-R. Basándonos en las tablas de verdad de los biestables se obtienen los valores que deben tomar las entradas para pasar del estado Q(t) al Q(t+1) D Q(t+1) 0 0 1 1 J K Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Q(t) T Q(t+1) 0 Q(t) 1 Q(t) S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 - Tablas de verdad de los biestables:
  • 14. 2014-2015 14Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 6 Tablas de excitación del autómata Basándonos en las tablas de verdad de los biestables se obtienen los valores que deben tomar las entradas de los biestables para pasar del estado Q(t) al Q(t+1) S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 - Obtengo la tabla que me da lo que necesito en S y R para obtener las transiciones de Q Q(t) Q(t+1) S R 0 0 0 X 0 1 1 0 1 0 0 1 1 1 X 0 S R 0 0 0 1 Para pasar 0 → 0 Mantener el valor o poner un RESET Ej, para el S- R Para pasar 0 → 1 : poner SET S R 1 0 S R 0 X Para pasar 1 → 0 : poner un RESET S R 0 1 S R 0 0 1 0 Para pasar 1 → 1 Mantener el valor o poner un SET S R X 0 Tabla de verdad para la obtención del estado siguiente Tabla de verdad del S-R ¿tabla para el resto de biestables?
  • 15. 2014-2015 15Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 6 Tablas de excitación del autómata S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 - Q(t) Q(t+1) S R 0 0 0 X 0 1 1 0 1 0 0 1 1 1 X 0 Para los demás biestables se hace de manera similar: J K Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Q(t) Q(t) Q(t+1) J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0 D Q(t+1) 0 0 1 1 Q(t) Q(t+1) D 0 0 0 0 1 1 1 0 0 1 1 1 T Q(t+1) 0 Q(t) 1 Q(t) Q(t) Q(t+1) T 0 0 0 0 1 1 1 0 1 1 1 0
  • 16. 2014-2015 16Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 6 Tablas de excitación del autómata Q1 Q0 Entrada X Q’1 Q’0 Salida Z J’1 K’1 J’0 K’0 0 0 0 0 0 0 0 X 0 X 0 0 1 0 1 0 0 X 1 X 0 1 0 1 0 0 1 X X 1 0 1 1 0 1 0 0 X X 0 1 0 0 0 0 1 X 1 0 X 1 0 1 0 1 0 X 1 1 X 1 1 X X X X X X X X Se completa la tabla de transición de estados con los valores necesarios en las entradas de los biestables para realizar la transición de estado Ej.: biestables J-K Q(t) Q(t+1) J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0 Q0→Q'0 0 → 0 S(t) S(t+1) entradas en J-K para pasar de S(t) a S(t+1) para esta transición se necesita J0=0, K0=X Ej:
  • 17. 2014-2015 17Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 7 Minimización de las funciones lógicas Q1 Q0 Entrada X J’1 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 X 1 0 1 X 1 1 X X Se obtienen las ecuaciones lógicas de las J, K y las salidas (Z), en función del estado actual (Q1 y Q0) y las entradas (X) Para minimizarlas se emplean los mapas de Karnaugh Ej, para J1 0 1 X X 0 0 X X 00 01 11 10 0 1 Q1Q0 X X · Q0 J1 = X·Q0
  • 18. 2014-2015 18Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 7 Minimización de las funciones lógicas De la misma manera se hace para las demás entradas de los biestables (J, K) y la salida (X) X X X 1 X X X 1 00 01 11 10 0 1 Q1Q0 X 1 K1 = 1 XXXXXXXX11 X11X010101 X01X100001 0XX0010110 1XX1001010 X1X0010100 X0X0000000 K’0J’0K’1J’1Salida Z Q’0Q’1Entrada X Q0Q1 XXXXXXXX11 X11X010101 X01X100001 0XX0010110 1XX1001010 X1X0010100 X0X0000000 K’0J’0K’1J’1Salida Z Q’0Q’1Entrada X Q0Q1 K1 X 1 X X X 0 X X 00 01 11 10 0 1 Q1Q0 X K0 = X K0 X 0 X X 0 1 X X 1 00 01 11 10 0 1 Q1Q0 X XJ0 = X J0 0 0 X 1 0 0 X 0 00 01 11 10 0 1 Q1Q0 X X·Q1 Z = X·Q1 Z
  • 19. 2014-2015 19Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) A partir de las ecuaciones se puede construir el circuito K1 = 1 K0 = X J0 = X Z = X·Q1 J1 = X·Q0 8 Diseño del circuito (Implementación biestables J-K) CLK '1' J0 K0 Q0 J1 K1 Q1 Z X La salida depende de la entrada
  • 20. 2014-2015 20Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 6 Tablas de excitación del autómata (biestables D) Q1 Q0 Entrada X Q’1 Q’0 Salida Z D’1 D’0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 1 1 X X X X X X Hacemos el mismo circuito pero con biestables D D coincide con Q'
  • 21. 2014-2015 21Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) XXXXXX11 10010101 00100001 10010110 01001010 10010100 00000000 D’0D’1Salida Z Q’0Q’1Entrada X Q0Q1 XXXXXX11 10010101 00100001 10010110 01001010 10010100 00000000 D’0D’1Salida Z Q’0Q’1Entrada X Q0Q1 7 Minimización de las funciones lógicas (biestables D) 0 0 X 0 1 1 X 1 00 01 11 10 0 1 Q1Q0 X XD0 = X D0 Z Z = X·Q1 0 1 X 0 0 0 X 0 00 01 11 10 0 1 Q1Q0 X D1 D1 = X·Q0 X·Q0 Para la salida no hace falta repetir porque la salida es igual que para J-K (es igual en todos)
  • 22. 2014-2015 22Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) A partir de las ecuaciones se construye el circuito Z = X·Q1 8 Diseño del circuito (Implementación biestables D) CLK D0 Q0 D1 Q1 Z X D0 = X D1 = X·Q0 La salida depende de la entrada
  • 23. 2014-2015 23Máquinas de estados finitos En una máquina de Moore: Ü El estado siguiente depende de la entrada y del estado actual. Ü La salida depende de exclusivamente del estado actual. Toda máquina de Moore es un caso particular de una máquina de Mealy. Máquinas de Moore C. Combinacional ESTADOS X(t) Z(t) S(t+1)S(t) CLK C. Combinacional SALIDA
  • 24. 2014-2015 24Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MOORE) 2 Diagrama de transición de estados Estado S(t)/ Z(t) Estado S(t+1)/ Z(t+1) Entrada X(t) Mq. Moore Ej: Detector 100 La salida asociada al estado S0/p S3/q S1/p bb aa b inicio S2/p a a b 1 1 0 01 1 0 0 S0/0 S1/0 S10/0S100/1 3 Comprobación y reducción del diagrama
  • 25. 2014-2015 25Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MOORE) Ejemplo (detector de secuencia 100): 4 estados ⇒ 2 biestales 4 Determinación del número de biestables N estados ⇒ n biestables tal que 2n ≥ N 5 Asignación de estados • A cada estado se le asigna una combinación de valores de los biestables Estado S0 S1 S10 S100 Q1 Q0 0 0 0 1 1 0 1 1
  • 26. 2014-2015 26Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MOORE) 6 Tablas de transición de estados y salidas y excitación del autómata S(t) X(t) S(t+1) Z(t) S0 S1 S10 Transición de estados: Ecuación de estado: X(t))f(S(t),1)S(t =+ Salidas del circuito: Moore: Mealy: g(S(t))Z(t) = X(t))g(S(t),Z(t) = Ej: Detector 100 S0/p S3/q S1/p bb aa b inicio S2/p a a b 1 1 0 01 1 0 0 S0/0 S1/0 S10/0S100/1 Q1 Q0 Entrada X Q’1 Q’0 Salida Z 0 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 0 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 0 0 1 1 1 1 0 1 1 S100 ¿Tabla de excitación: D, J-K, S-R?
  • 27. 2014-2015 27Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MOORE) 6 Tablas de excitación del autómata • Se construye a partir de la tabla de transición de estados. • ¿Qué valor tiene que tomar el biestable para estando en el estado S(t) pase al estado S(t+1)? • La implementación se puede hacer con biestables D, J-K,T, S-R. Q1 Q0 Entrada X Q’1 Q’0 Salida Z J’1 K’1 J’0 K’0 D’1 D’0 0 0 0 0 0 0 0 X 0 X 0 0 0 0 1 0 1 0 0 X 1 X 0 1 0 1 0 1 0 0 1 X X 1 1 0 0 1 1 0 1 0 0 X X 0 0 1 1 0 0 1 1 0 X 0 1 X 1 1 1 0 1 0 1 0 X 1 1 X 0 1 1 1 0 0 0 1 X 1 X 1 0 0 1 1 1 0 1 1 X 1 X 0 0 1
  • 28. 2014-2015 28Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (M00RE) 7 Minimización de las funciones lógicas
  • 29. 2014-2015 29Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (MEALY) 8 Diseño del circuito (Implementación biestables J-K)
  • 30. 2014-2015 30Máquinas de estados finitos Ejemplo: Detector de secuencia 100 (M00RE) 8 Diseño del circuito (Implementación biestables D) 01010 0010011 0010 QQ)Q,(QZ XQQXQQD XQQD ⋅= ⋅⋅+⋅⋅= +⋅= D1 D0
  • 31. 2014-2015 31Máquinas de estados finitos Síntesis: Metodología 1 Identificación de entradas y salidas 2 Diagrama de transición de estados 3 Comprobación y reducción del diagrama 4 Determinación del número de biestables 5 Asignación de estados 6 Tablas de transición de estados y salidas y excitación del autómata 7 Minimización de las funciones lógicas 8 Diseño del circuito
  • 32. 2014-2015 32Máquinas de estados finitos El proceso que hay que realizar es el inverso al de la síntesis. Problema de “ingeniería inversa” Análisis de máquinas de estados finitos I Identificación de entradas, estados y salidas II Expresiones algebraicas III Tabla de excitación del autómata IV Tabla de transición de estados V Diagrama de transición de estados
  • 33. 2014-2015 33Máquinas de estados finitos Veremos los pasos del análisis a través de un ejemplo. Análisis 1 0
  • 34. 2014-2015 34Máquinas de estados finitos II. Expresiones algebraicas I. Identificación de entradas, estados y salidas Entradas: X ∈ {0,1} Salidas: Z ∈ {0,1} Variables de estado: 2 {Q0,Q1} darán lugar a 4 estados como máximo Análisis
  • 35. 2014-2015 35Máquinas de estados finitos Análisis 1 0 II. Expresiones algebraicas 00 xQ ⋅ 01 xQ ⋅ 0x 0x
  • 36. 2014-2015 36Máquinas de estados finitos II. Expresiones algebraicas III. Obtención de la tabla de excitación del autómata 100 1 001 00 00 QXZ 1K QXJ XK XJ ⋅= = ⋅= = = Análisis Mq. de Mealy: Z= f (entrada, estado) Q1 Q0 Entrada X J1 K1 J0 K0 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 1 1 0 1 1 0 0 0 1 1 0 1 0 1 0 1 0 1 1 1 0 0 1 1 0 1 1 1 1 1 0 1 ¿Cuál será el estado siguiente y la salida del autómata?
  • 37. 2014-2015 37Máquinas de estados finitos II. Expresiones algebraicas III. Obtención de la tabla de excitación del autómata 100 1 001 00 00 QXZ 1K QXJ XK XJ ⋅= = ⋅= = = Análisis Mq. de Mealy: Z= f (entrada, estado) Q1 Q0 Entrada X J1 K1 J0 K0 Q’1 Q’0 Salida Z 0 0 0 0 1 1 0 0 1 0 0 0 1 0 1 0 1 0 0 0 0 1 0 0 1 1 0 0 1 0 0 1 1 1 1 0 1 1 0 0 1 0 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 1 0 0 1 1 0 0 1 0 1 1 1 1 1 0 1 0 0 1
  • 38. 2014-2015 38Máquinas de estados finitos IV. Obtención de la tabla de transición de estados y salida Análisis Máquina de Mealy X Q’1Q’0 /Z CODIFICACIÓN Q1 Q0 0 1 S0 0 0 01/0 00/0 S1 0 1 01/0 10/0 S2 1 0 01/0 00/1 S3 1 1 01/0 00/1
  • 39. 2014-2015 39Máquinas de estados finitos V. Obtención del diagrama de transición de estados Pueden salir estados inconexos y/o inaccesibles. Análisis Máquina de Mealy S0 S2 S1 b/p a/p b/q a/p a/p b/p inicio S3b/p a/p 0/0 0/0 0/0 0/0 1/0 1/0 1/0 1/1 S3
  • 40. 2014-2015 40Máquinas de estados finitos Análisis: otro ejemplo
  • 41. 2014-2015 41Máquinas de estados finitos II. Expresiones algebraicas I. Identificación de entradas, estados y salidas Entradas: X ∈ {0,1} Salidas: Z ∈ {0,1} Variables de estado: 2 {Q0,Q1} darán lugar a 4 estados como máximo Análisis
  • 42. 2014-2015 42Máquinas de estados finitos Análisis 01 QQ ⋅ 0x 1 0 10 QQ ⋅ 010 QQx ⋅⋅ 100 QQx ⋅⋅
  • 43. 2014-2015 43Máquinas de estados finitos II. Expresiones algebraicas III. Obtención de la tabla de excitación del autómata Análisis Mq. de Moore: Z= f (estado) Q1 Q0 Entrada X D1 D0 0 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 1 1 1 0 0 1 1 1 1 0 0 ¿Cuál será el estado siguiente y la salida del autómata? 010 00100111 00100 QQZ XQQXQQD'Q XQQD'Q ⋅= ⋅⋅+⋅⋅== +⋅==
  • 44. 2014-2015 44Máquinas de estados finitos II. Expresiones algebraicas III. Obtención de la tabla de excitación del autómata Análisis Mq. de Moore: Z= f (estado)010 00100111 00100 QQZ XQQXQQD'Q XQQD'Q ⋅= ⋅⋅+⋅⋅== +⋅== Q1 Q0 Entrada X D1 D0 Q’1 Q’0 Salida Z 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 1 0 0 1 1 1 0 1 0 0 1 0 0 0 1 0 1 0 1 0 1 1 1 1 1 0 1 1 0 0 1 0 1 1 1 1 1 0 0 0 0 1
  • 45. 2014-2015 45Máquinas de estados finitos IV. Obtención de la tabla de transición de estados y salida Análisis X Q’1Q’0 Q’1Q’0 CODIFICACIÓN Q1 Q0 0 1 Z S0 0 0 01 00 0 S1 0 1 01 10 0 S2 1 0 01 11 0 S3 1 1 01 00 1
  • 46. 2014-2015 46Máquinas de estados finitos V. Obtención del diagrama de transición de estados Análisis S0/p S3/q S1/p bb aa b inicio S2/p a a b 0 0 0 0 1 1 1 1 S0/0 S1/0 S3/1 S2/0
  • 47. 2014-2015 47Máquinas de estados finitos Implementación de máquinas secuenciales síncronas ! Implementación de forma canónica: tablas, biestables y puertas ! Implementación desde otros enfoques hardware: multiplexores, registros, etc… ! Implementación de autónomas con los estados codificados con el código one-hot (One Hot Encoding) ! Implementación utilizando técnicas ASM ( Algoritmic State Machine) y RT ( Transferencia entre Registros) ! Implementación con dispositivos lógicos programables: PLD, CPLD, FPGAs ü ü ü
  • 48. 2014-2015 48Máquinas de estados finitos Máquinas secuenciales síncronas: consideraciones Ø Moore vs. Mealy: • En Moore la salida no depende de la entrada, es decir los cambios en la entrada que no alteren el estado no pueden alterar el valor de la salida ⇒ Protección contra señales espurias • En una máquina de Moore la respuesta de la salida de retarda hasta en un periodo de reloj Ø “Clock Skew”: la señal de reloj no llega a la vez a los distintos componentes: • Longitud del bus • Líneas no “equilibradas” • “Crosstalk” • Rutado automático de las herramientas CAD CLK CLK1 CLK2 CLK3
  • 49. 2014-2015 49Máquinas de estados finitos Máquinas secuenciales síncronas: entradas asíncronas En los sistemas reales las entradas son ASÍNCRONAS, no se encuentran sincronizadas con un reloj ⇒ sistemas secuenciales asíncronos. Existen técnicas de análisis y diseño específicas para los sistemas secuenciales asíncronos, aunque lo más usual es “sincronizarlos”: 1.  Se introduce un reloj a una frecuencia suficiente para muestrear las entradas. 2.  Se “bloquean” las entradas asíncronas mediante la interposición de un biestable D síncrono por flanco. ¿Qué ocurre si se produce metaestabilidad? D Q Q SET CLR Entrada sincronizada Entrada asíncrona Reloj Reloj Máquina secuencial
  • 50. 2014-2015 50Máquinas de estados finitos Máquinas secuenciales síncronas: entradas asíncronas Las entradas asíncronas pueden no respetar los parámetros de temporización del biestable y hacer que quede en estado metaestable. Si se supone que la metaestabiliad dura menos que un periodo de reloj, se puede interponer un biestable más para evitar que el sistema se vea afectado (si no, será preciso interponer varios). D Q Q SET CLR Entrada sincronizada no metaestable Entrada asíncrona Reloj Reloj Máquina secuencial D Q Q SET CLR Entrada sincronizada quizá metaestable
  • 51. 2014-2015 51Máquinas de estados finitos Aspectos tecnológicos Ø Señal de reloj: Cristal de cuarzo ü Alta estabilidad ü  Facilidad de diseño û Alto coste (aprox 1 €). û Consumo alto
  • 52. 2014-2015 52Máquinas de estados finitos Aspectos tecnológicos ü  Estabilidad media ü  Facilidad de diseño û  Consumo alto Ø Señal de reloj: Resonador cerámico
  • 53. 2014-2015 53Máquinas de estados finitos Aspectos tecnológicos ü Bajo coste ü Pocos componentes y espacio en placa û Difícil de diseñar y ajustar û Poco estable a la temperatura y variaciones de voltaje û No adecuado para aplicaciones con temporización fuerte Ø Señal de reloj: Oscilador RC Circuitos RC (para f < 600KHz) )RC4,1( 1f ≈ )RC4,1( 1f ≈
  • 54. 2014-2015 54Máquinas de estados finitos Aspectos tecnológicos Ø Circuito de reset ( power-on-reset) R C CMOS: R= 27 KΩ, C= 100 nF TTL : R= 1 KΩ, C= 220 pF Diodo para descargar rápidamente C en caso de fallo de alimentación para producir un reset Pulsador de Reset
  • 55. 2014-2015 55Máquinas de estados finitos Aspectos tecnológicos Ø Tensión de alimentación Regulador lineal Reguladores de tensión de 3.3V, 5V, 12V y 15V (Más usual 7805 de 5V). Ø Circuitos antirrobotes
  • 56. 2014-2015 56Máquinas de estados finitos Ejercicio •  Diseñar una máquina expendedora de café con tres entradas ü  La A se pone a uno cada vez que se introduce una moneda de 20 céntimos en la máquina. ü  La entrada B solicita un café. ü  La entrada C indica la devolución de la vuelta. •  El circuito tiene una salida, que indica a la máquina que haga el café. •  El café cuesta 60 céntimos. •  Diseña una máquina de estados que cuenta el número de monedas de entrada, y devuelve un café una vez que se hayan introducido 3 monedas, y el usuario solicite el café. El botón de solicitud de vuelta pone a cero el número de monedas.
  • 57. 2014-2015 57Máquinas de estados finitos Ejercicio •  Diseñar un sumador serie de dos bits: Recibe pares de bits como entrada y va produciendo el resultado de la suma como salida.